Bio
No activities entered.
Interests
No activities entered.
Courses
2019-20 Courses
-
Dissertation
CHEE 920 (Spring 2020) -
Independent Study
CHEE 599 (Spring 2020) -
Research
CHEE 900 (Spring 2020) -
Thesis
CHEE 910 (Spring 2020) -
Dissertation
CHEE 920 (Fall 2019) -
Master's Report
CHEE 909 (Fall 2019) -
Research
CHEE 900 (Fall 2019) -
Thesis
CHEE 910 (Fall 2019)
2018-19 Courses
-
Dissertation
CHEE 920 (Spring 2019) -
Independent Study
CHEE 599 (Spring 2019) -
Master's Report
CHEE 909 (Spring 2019) -
Research
CHEE 900 (Spring 2019) -
Dissertation
CHEE 920 (Fall 2018) -
Master's Report
CHEE 909 (Fall 2018) -
Research
CHEE 900 (Fall 2018) -
Thesis
CHEE 910 (Fall 2018)
2017-18 Courses
-
Dissertation
CHEE 920 (Spring 2018) -
Thesis
CHEE 910 (Spring 2018) -
Chem Engr Mass Transfer
CHEE 303 (Fall 2017) -
Microelect Manu+Environ
CHEE 515 (Fall 2017) -
Microelect Manu+Environ
ECE 415 (Fall 2017) -
Microelect Manu+Environ
ECE 515 (Fall 2017) -
Microelect Manu+Environ
MSE 515 (Fall 2017) -
Thesis
CHEE 910 (Fall 2017)
2016-17 Courses
-
Dissertation
CHEE 920 (Spring 2017) -
Research
CHEE 900 (Spring 2017) -
Thesis
CHEE 910 (Spring 2017) -
Dissertation
CHEE 920 (Fall 2016) -
Research
CHEE 900 (Fall 2016) -
Thesis
CHEE 910 (Fall 2016)
2015-16 Courses
-
Practicum
CHEE 594 (Summer I 2016) -
Dissertation
CHEE 920 (Spring 2016) -
Microelect Manu+Environ
CHEE 415 (Spring 2016) -
Microelect Manu+Environ
CHEE 515 (Spring 2016) -
Microelect Manu+Environ
ECE 415 (Spring 2016) -
Microelect Manu+Environ
ECE 515 (Spring 2016)
Scholarly Contributions
Journals/Publications
- Philipossian, A. (2014). See list of 11 pubs below. See list of 11 pubs below.More info1. Novel Slurry Injection System for Improved Slurry Flow and Reduced Defects in CMP, A. Philipossian, L. Borucki, Y. Sampurno and Y. Zhuang. Solid State Phenomena, Vol. 219, 143-147 (2014).2. Effect of Pad Surface Micro-Texture on Dishing and Erosion during STI CMP. X. Liao, Y. Zhuang, L. Borucki, J. Cheng, S. Theng, T. Ashizawa and A. Philipossian. Japanese Journal of Applied Physics, 53, 086501 (2014).3. Effect of Pad Micro-Texture on Removal Rate during Interlayer Dielectric Chemical Mechanical Planarization Process. X. Liao, Y. Zhuang, L. Borucki, J. Cheng, S. Theng, T. Ashizawa and A. Philipossian. Japanese Journal of Applied Physics, 52, 018001 (2013).4. Aggressive Diamond Wear Characterization and Analysis during Chemical Mechanical Planarization. C. Wu, Y. Zhuang, X. Liao, Y. Jiao, Y. Sampurno, S. Theng, F. Sun, A. Naman and A. Philipossian. ECS Journal of Solid State Science and Technology, 2(1), P36-P41 (2013).5. Pad Wear Analysis during Interlayer Dielectric Chemical Mechanical Planarization. Y. Jiao, Y. Zhuang, X. Wei, Y. Sampurno, A. Meled, S. Theng, J. Cheng, D. Hooper, M. Moinpour and A. Philipossian. Journal of Solid State Science and Technology, 1(5), N103-N105 (2012).6. Investigation of Eccentric PVA Brush Behaviors in Post-Cu CMP Scrubbing. T. Sun, Y. Zhuang, W. Li and A. Philipossian. Microelectronic Engineering, 100, 20-24 (2012).7. Effect of Retaining Ring Slot Designs and Polishing Conditions on Slurry Flow Dynamics at Bow Wave. X. Liao, Y. Sampurno, Y. Zhuang, A. Rice, F. Sudargho, A. Philipossian and C. Wargo. Microelectronic Engineering, 98, 70-73 (2012).8. Effect of Temperature on Pad Surface Contact Area in Chemical Mechanical Planarization. Y. Jiao, Y. Zhuang, X. Liao, L. Borucki, A. Naman and A. Philipossian. Electrochemical and Solid-State Letters, 1(2), N13-N15 (2012).9. Effect of Slurry Application/Injection Schemes on Slurry Availability during Chemical Mechanical Planarization (CMP). X. Liao, Y. Sampurno, Y. Zhuang and A. Philipossian. Electrochemical and Solid-State Letters, 15 (4), H118-H122 (2012).10. Effect of Concentric Slanted Groove Patterns on Slurry Flow during Chemical Mechanical Planarization. D. Rosales-Yeomans, H. Lee, T. Suzuki and A. Philipossian. Thin Solid Films, accepted for publication.Thin Solid Films, 520, 2224–2232 (2012).11. Tribological, Thermal and Kinetic Attributes of 300 vs. 450 mm Chemical Mechanical Planarization Processes. Y. Jiao, X. Liao, C. Wu, S. Theng, Y. Zhuang, Y. Sampurno, M. Goldstein and A. Philipossian. Journal of Electrochemical Society, 159(3), H255-H259 (2012).
- Borucki, L., Zhuang, Y., Sampurno, Y., Philipossian, A., & Kreutzer-Schneeweiss, S. (2013). Performance analysis of a novel slurry injection system for oxide chemical mechanical planarization. ECS Transactions, 52(1), 591-596.More infoAbstract: In this study, a novel slurry injection system (SIS) was installed on an Ebara F-REX200® polisher and its performance was analyzed for oxide chemical mechanical planarization process. The slurry injector contacts the pad with a light load and applies slurry in a thin layer at the device trailing edge using single or multiple injection points. Used slurry, rinse water and pad debris are then removed from the pad by the injector leading edge. Blanket 200-mm oxide wafers were polished using Cabot Microelectronics Corporation Semi-Sperse™ 25 slurry at different flow rates (100, 150 and 200 ml/min) on Dow IC1000™ k-groove and XY-perforated pads. The results show that at each flow rate, the oxide removal rate is enhanced sufficiently over the rate from the standard slurry applicator that the flow rate can be reduced by about 35% on the XY-perforated pad and 50% on the k-groove pad without sacrificing removal rate. Copyright © 2013 by ECS - The Electrochemical Society.
- Changhong, W. u., Zhuang, Y., Liao, X., Jiao, Y., Sampurno, Y. A., Theng, S., Sun, F., Naman, A., & Philipossian, A. (2013). Aggressive diamond characterization and wear analysis during chemical mechanical planarization. ECS Journal of Solid State Science and Technology, 2(1), P36-P41.More infoAbstract: A 3M A3700 diamond disk was used to condition a Cabot Microelectronics Corporation D100 pad for 30 hours, and wear on its aggressive diamonds was analyzed. The top 20 aggressive diamonds for two perpendicular disk orientations were identified before wafer polishing, as well as after 15-hour and 30-hour polishing. Results showed that the original top 20 aggressive diamonds identified before polishing were subjected to wear after the first 15-hour polishing as the furrow surface area that they generated decreased dramatically by 47%. As these original aggressive diamonds were worn, seven new aggressive diamonds were "born" and joined the new top 20 list for both disk orientations. After the second 15-hour wafer polishing, the furrow surface area of these new top 20 aggressive diamonds did not change significantly. The furrow surface area created by all the active diamonds exhibited the same trend as the top 20 aggressive diamonds, confirming that most pad conditioning work was performed by these aggressive diamonds and that the disk lost its aggressiveness in the first 15 hours of polishing and then maintained its aggressiveness during the second 15 hours. © 2012 The Electrochemical Society.
- Liao, X., Zhuang, Y., Borucki, L. J., Cheng, J., Theng, S., Ashizawa, T., & Philipossian, A. (2013). Effect of pad surface micro-texture on removal rate during interlayer dielectric chemical mechanical planarization process. Japanese Journal of Applied Physics, 52(1).More infoAbstract: The effect of pad surface micro-texture on removal rate in interlayer dielectric chemical mechanical planarization was investigated. Blanket 200-mm oxide wafers were polished on a Dow® IC1000™ K-groove pad conditioned at two different conditioning forces. The coefficient of friction increased slightly (by 7%) while removal rate increased dramatically (by 65%) when conditioning force was increased from 26.7 to 44.5 N. Pad surface micro-texture analysis results showed that pad surface contact area decreased dramatically (by 71%) at the conditioning force of 44.5 N, leading to a sharp increase in the local contact pressure and resulting in a significantly higher removal rate. © 2013 The Japan Society of Applied Physics.
- Philipossian, A., Wu, C., Zhuang, Y., Liao, X., Jiao, Y., Sampurno, Y., Theng, S., Sun, F., & Naman, A. (2013). Aggressive diamond characterization and wear analysis during chemical mechanical planarization. ECS Transactions, 52(1), 597-603.More infoAbstract: In this study, a 3M A3700 diamond disc was used to condition a Cabot Microelectronics Corporation D100 pad for 30 hours, and wear on its aggressive diamonds was analyzed. The top 20 aggressive diamonds for two perpendicular disc orientations were identified before wafer polishing, as well as after 15-hour and 30-hour polishing. Results showed that the original top 20 aggressive diamonds identified before polishing were subjected to wear after the first 15-hour polishing as the furrow surface area that they generated decreased dramatically by 47%. As these original aggressive diamonds were worn, seven new aggressive diamonds were "born" and joined the new top 20 list for both disc orientations. After the second 15-hour wafer polishing, the furrow surface area of these new top 20 aggressive diamonds did not change significantly. The furrow surface area created by all the active diamonds exhibited the same trend as the top 20 aggressive diamonds, confirming that most pad conditioning work was performed by these aggressive diamonds and that the disc lost its aggressiveness in the first 15 hours of polishing and then maintained its aggressiveness during the second 15 hours. Copyright © 2013 by ECS - The Electrochemical Society.
- Jiao, Y., Liao, X., Changhong, W. u., Theng, S., Zhuang, Y., Sampurno, Y., Goldstein, M., & Philipossian, A. (2012). Tribological, thermal and kinetic attributes of 300 vs. 450 mm chemical mechanical planarization processes. Journal of the Electrochemical Society, 159(3), H255-H259.More infoAbstract: An existing 300 mm CMP tool has been modified to polish 450 mm wafers in order to demonstrate experimentally whether any differences exist in the tribological and thermal characteristics of the two processes, and from that, to infer whether one can expect any removal rate differences between the two systems. Results suggest that, within the ranges of parameter investigated, the two systems behave similarly in terms of their coefficients of friction and lubrication regimes. Additionally, it is shown that the 450 mm process, once adjusted for its platen velocity, runs only slightly warmer (by 1-3C) than its 300 mm counterpart. Experimental data, coupled with copper removal rate simulations show that the wafer surface reaction temperature of the 450 mm process is slightly higher (by 1-2C) than the 300 mm process. Consequently, simulated copper removal rates for the 450 mm process are slightly higher (2-13) than those of the 300 mm process at most polishing conditions. The above results indicate that when the current 300mm CMP process is scaled up to 450 mm, the tribological, thermal, and kinetic attributes of the process remain similar and do not undergo significant changes. © 2012 The Electrochemical Society.
- Jiao, Y., Liao, X., Changhong, W. u., Zhuang, Y., Sampurno, Y., Philipossian, A., Theng, S., & Goldstein, M. (2012). Tribological, thermal, and kinetic attributes of 300 vs. 450 mm chemical mechanical planarization processes. ASMC (Advanced Semiconductor Manufacturing Conference) Proceedings, 272-277.More infoAbstract: An existing 300 mm CMP tool has been modified to polish 450 mm wafers in order to demonstrate experimentally whether any differences exist in the tribological and thermal characteristics of the two processes, and from that, to infer whether one can expect any removal rate differences between the two systems. Results suggest that, within the ranges of parameter investigated, the two systems behave similarly in terms of their coefficients of friction and lubrication regimes. Additionally, it is shown that the 450 mm process, once adjusted for its platen velocity, runs only slightly warmer (by 1 to 2°C) than its 300 mm counterpart. Experimental data, coupled with copper removal rate simulations show that the wafer surface reaction temperatures of the 450 mm adjusted process are higher (by 2 to 3°C) than the 300 mm process. Consequently, simulated copper removal rates for the 450 mm adjusted process are higher (by 8 to 31%) than those of the 300 mm process. © 2012 IEEE.
- Jiao, Y., Zhuang, Y., Liao, X., Borucki, L. J., Naman, A., & Philipossian, A. (2012). Effect of temperature on pad surface contact area in chemical mechanical planarization. ECS Solid State Letters, 1(2), N13-N15.More infoAbstract: In this study, pad surface contact area measurement was performed using laser confocal microscopy at elevated temperatures under dry and static condition to illustrate the effect of temperature on the mechanical contacts in chemical mechanical planarization. Pad surface contact area and contact density were measured for a Cabot Microelectronics Corporation D100 pad sample at 27.6 kPa with a custom-made sample holder that was designed to heat the pad sample during the measurement. When the pad surface temperature increased from 25 to 45°d asperities became much softer and resulted in a significant increase (from 0.029% to 0.092%) in the pad contact area percentage while the contact density remained in the same range. © 2012 The Electrochemical Society. All rights reserved.
- Liao, X., Sampurno, Y., Zhuang, Y., & Philipossian, A. (2012). Effect of slurry application/injection schemes on slurry availability during chemical mechanical planarization (CMP). Electrochemical and Solid-State Letters, 15(4), H118-H122.More infoAbstract: Effect of slurry applicationinjection schemes on slurry availability during CMP was investigated. A new ultraviolet enhanced fluorescence system was developed to measure slurry film thickness at the retaining ring bow wave for standard pad center area application and novel slurry injection methods. As the novel slurry injection system was placed adjacent to the retaining ring on the pad surface, it generated statistically thicker bow waves (up to 104 percent) in 7 out of 8 cases at different sliding velocities, slurry flow rates and ring pressures, providing more slurry availability for the pad-retaining ring interface. Slurry savings associated with the novel slurry injection system was estimated to range between 8 and 48 percent depending on specific process conditions. © 2012 The Electrochemical Society.
- Liao, X., Sampurno, Y., Zhuang, Y., Rice, A., Sudargho, F., Philipossian, A., & Wargo, C. (2012). Effect of retaining ring slot designs and polishing conditions on slurry flow dynamics at bow wave. Microelectronic Engineering, 98, 70-73.More infoAbstract: The effect of retaining ring slot design and polishing conditions on slurry flow dynamics at the bow wave was investigated. An ultraviolet enhanced fluorescence technique was employed to measure the slurry film thickness at the bow wave for two polyetheretherketone (PEEK) retaining rings with different slot designs. Multiple sliding velocities, slurry flow rates and ring pressures were investigated. Results showed that the retaining ring with the sharp angle slot design (PEEK-1) generated significantly thicker slurry films at the bow wave than PEEK-2 which had a rounded angle slot design. For PEEK-1, film thickness at the bow wave increased with increasing flow rate and ring pressure and decreased with increasing sliding velocity. On the other hand, film thickness at bow wave did not change significantly for the PEEK-2 ring at different polishing conditions indicating an apparent robustness of the PEEK-2 design to various operating conditions. With retaining rings having different designs, and all else being the same, a thinner bow wave was preferred since it was indicative of a ring design that allowed more slurry to flow into the pad-wafer interface. Therefore, the work underscored the importance of optimizing retaining ring slot design and polishing conditions for efficient slurry utilization. © 2012 Elsevier B.V. All rights reserved.
- Rosales-Yeomans, D., Lee, H., Suzuki, T., & Philipossian, A. (2012). Effect of concentric slanted pad groove patterns on slurry flow during chemical mechanical planarization. Thin Solid Films, 520(6), 2224-2232.More infoAbstract: The present study presents the effect of applied wafer pressure, slurry flow rate and degree of groove slanting on the overall hydrodynamics of a typical chemical mechanical planarization (CMP) process by quantifying the slurry film thickness in pad-wafer region. This is done via dual emission ultraviolet (UV) enhanced fluorescence measurements, which use fluorescent dyes on the slurry to relate the intensity of the light to the film thickness. Slurry film measurements were taken in-situ at several wafer pressure, slurry flow rate and groove patterns in a 200-mm CMP tool. The film thickness measurements obtained in this study showed a correlation between groove designs and the amount of slurry transported from the grooves to the pad land areas. Also this study corroborates a previously hypothesized slurry flow mechanism generated when the slanted groove pads were used during copper CMP. © 2011 Elsevier B.V. All rights reserved.
- Sun, T., Zhuang, Y., Li, W., & Philipossian, A. (2012). Investigation of eccentric PVA brush behaviors in post-Cu CMP cleaning. Microelectronic Engineering, 100, 20-24.More infoAbstract: Behavior of an eccentric PVA brush is investigated during post-Cu chemical mechanical planarization (CMP) brush cleaning. It is observed that the measured contact pressure and contact area of the eccentric brush vary significantly under different brush orientations. Moreover, the eccentric brush behaves differently from the good (i.e. concentric) brush in both the variance of shear force as well as the force spectra during cleaning. This study provides a rapid detection method to screen out eccentric brushes before they are used in semiconductor manufacturing, thereby potentially reducing wafer defects as well as chemical, water, and energy consumption associated with using eccentric PVA brushes. © 2012 Elsevier B.V. All rights reserved.
- Duyos-Mateo, R., Gu, X., Nemoto, T., Sugawa, S., Zhuang, Y., Sampurno, Y., Philipossian, A., & Ohmi, T. (2011). Tribological and kinetical analysis of barrier metal polishing for next generation copper interconnects. ECS Transactions, 34(1), 627-632.More infoAbstract: In this study, the tribological, thermal and kinetic attributes of Ti CMP process was investigated. Hitachi Chemical HS-T815 and HS-T605 slurries with different H2O2 concentrations were used to polish 200-mm blanket Ti wafers under different polishing conditions. Under the polishing pressure of 10.3 KPa, the measured shear force between the pad and wafer surface decreased significantly while the Ti removal rate increased significantly when small amount of H2O2 was added to the slurries. On the other hand, the shear force decreased and the removal rate increased slowly with further increase in the H2O2 concentration. A particle indentation model was used to explain the shear force behavior and Ti removal rate mechanism. The shear force decreases slightly when 0.06% H 2O2 was added to the HS-T815 slurry and then remained stable with further increase in the H2O2 concentration. ©The Electrochemical Society.
- Jiao, Y., Sampurno, Y. A., Zhuang, Y., Wei, X., Meled, A., & Philipossian, A. (2011). Tribological, thermal, and kinetic characterization of 300-mm copper chemical mechanical planarization process. Japanese Journal of Applied Physics, 50(5 PART 2).More infoAbstract: In this study, the tribological, thermal, and kinetic attributes of 300-mm copper chemical mechanical planarization were characterized for two different pads. The coefficient of friction (COF) ranged from 0.39 to 0.59 for the D100 pad, indicating that boundary lubrication was the dominant tribological mechanism. In comparison, COF decreased sharply from 0.55 to 0.03 for the IC1000 pad, indicating that the tribological mechanism transitioned rapidly from boundary lubrication to partial lubrication. Consequently, the D100 pad exhibited higher pad temperatures and removal rates than the IC1000 pad. A two-step modified Langmuir-Hinshelwood model was used to simulate copper removal rates as well as chemical and mechanical rate constants. The simulated copper removal rates agreed very well with experimental data and the model successfully captured the non-Prestonian behavior. The simulated chemical rate to mechanical rate constant ratios indicated that the IC1000 pad generally produced a more mechanically controlled removal mechanism than the D100 pad. © 2011 The Japan Society of Applied Physics.
- Liao, X., Sampurno, Y., Zhuang, Y., Sudargho, F., Rice, A., & Philipossian, A. (2011). Effect of slurry application/injection methods and polishing conditions on bow wave characteristics. ECS Transactions, 34(1), 659-663.More infoAbstract: In this study, the effect of slurry application/injection methods and polishing conditions on slurry flow dynamics at the retaining ring bow wave was investigated. Two slurry application/injection methods (standard slurry application method and novel slurry injection method) were used. For each method, an ultraviolet enhanced fluorescence system was implemented to measure the slurry film thickness at bow wave for a polyetheretherketone (PEEK) retaining ring at different sliding velocities, slurry flow rates and ring pressures. Results indicated that the novel slurry injection method generated significantly thicker slurry film at the bow wave than that of the standard slurry application method. For both methods, slurry film thickness at the bow wave increased with increasing flow rate and ring pressure while it decreased with increasing sliding velocity. ©The Electrochemical Society.
- Liao, X., Zhuang, Y., Borucki, L. J., Theng, S., Wei, X., Ashizawa, T., & Philipossian, A. (2011). Effect of pad surface micro-texture on coefficient of friction and removal rate during copper CMP process. Electrochemical and Solid-State Letters, 14(5), H201-H204.More infoAbstract: In this study, 200-mm blanket copper wafers were polished on an IC1010 M-groove pad, which was conditioned by a 3M A2810 disc and Mitsubishi Materials Corporation (MMC) TRD disc. Pad surface contact area and topography were analyzed using laser confocal microscopy and scanning electron microscopy. The MMC TRD disc generated a lot of large near contact areas corresponding to fractured and collapsed pore walls. The fractured and collapsed pore walls partly covered the adjacent pores, making the pad surface more lubricated during wafer polishing and rendering significantly lower coefficient of friction and removal rate than the 3M A2810 disc. © 2011 The Electrochemical Society. All rights reserved.
- Meled, A., Zhuang, Y., Sampurno, Y. A., Theng, S., Jiao, Y., Borucki, L., & Philipossian, A. (2011). Analysis of a novel slurry injection system in chemical mechanical planarization. Japanese Journal of Applied Physics, 50(5 PART 2).More infoAbstract: Slurry mean residence time (MRT), removal rate, and polishing defects were analyzed for a novel slurry injection system used in chemical mechanical planarization. The novel slurry injection system was placed adjacent to the wafer on the pad surface and slurry was injected towards the wafer through multiple holes in the trailing edge of the injector bottom. Results showed the novel slurry injection system provided more efficient slurry delivery to the pad-wafer interface and generated lower slurry MRT, higher removal rate, and lower polishing defects than the standard pad center area slurry application method currently used in the IC manufacturing industry. © 2011 The Japan Society of Applied Physics.
- Sampurno, Y., Rice, A., Zhuang, Y., & Philipossian, A. (2011). Correlation of pad topography, friction force and removal rate during tungsten chemical mechanical planarization. ECS Transactions, 34(1), 621-626.More infoAbstract: The evolution of coefficient of friction and removal rate during 8.5 hours of tungsten chemical mechanical planarization is correlated to pad surface topography via a novel pad surface descriptor termed 'abruptness'. Interferometric analysis results indicate that during the first 2.5 hours of polishing, pad abruptness remains stable. After 5.5 hours, pad abruptness decreases (i.e. surface becomes smoother). Results from polishing show similar trends whereby removal rate and coefficient of friction are stable during the first 2.5 hours period and decrease significantly thereafter. The coefficient of correlation between pad abruptness and coefficient of friction as well as pad abruptness and removal rate are 0.98 and 0.77, respectively. ©The Electrochemical Society.
- Sampurno, Y., Sampurno, Y., Rice, A., Rice, A., Zhuang, Y., Zhuang, Y., Philipossian, A., & Philipossian, A. (2011). An approach for correlating friction force and removal rate to pad topography during tungsten chemical mechanical planarization. Electrochemical and Solid-State Letters, 14(8), H318-H321.More infoAbstract: The evolution of coefficient of friction (COF) and removal rate (RR) during 8.5 h of tungsten CMP is correlated to pad surface topography via a novel pad surface descriptor termed pad surface abruptness'. Interferometric analysis indicates that during the first 2.5 h of polishing, pad surface abruptness remains stable and after 5.5 h, pad surface abruptness decreases (i.e. surface becomes smoother). Results from polishing show similar trends whereby RR and COF are stable during the first 2.5 h period and decrease significantly thereafter. The coefficient of correlation between pad surface abruptness and COF as well as between pad surface abruptness and RR are 0.98 and 0.77, respectively. © 2011 The Electrochemical Society. [DOI: 10.1149/1.3589984] All rights reserved.
- Sampurno, Y., Sudargho, F., Zhuang, Y., Ashizawa, T., Morishima, H., & Philipossian, A. (2011). Pattern evolution in shallow trench isolation chemical mechanical planarization via real-time shear and down forces spectral analyses. Microelectronic Engineering, 88(9), 2857-2861.More infoAbstract: This study explores the transition of force spectral fingerprints of shallow trench isolation chemical mechanical planarization during early evolution of wafer topography and layer transition from silicon dioxide to silicon nitride. Polishing was done on a polisher and tribometer capable of measuring shear force and down force in real-time. Fast Fourier Transformation is performed to convert the force data from time domain to frequency domain and to illustrate the spectral amplitude distribution of the force. Such frequency spectra provide in-depth insights into the interactions among abrasive particles, pad and wafer. Shallow trench isolation patterned wafers are over-polished using cerium oxide slurry. Results show that shear force increases during polishing when the silicon dioxide layer is removed thus exposing the silicon nitride layer. Unique and consistent spectral fingerprints are generated showing significant changes in several fundamental peaks during the early evolution of wafer topography and subsequent layer transition to silicon nitride polishing. Variance of force is also plotted to show the progression of pattern evolution. Results show that a combination of unique spectral fingerprinting, coefficient of friction as well as analyses of force and its variance (based on shear and down force) can be used as to monitor in real-time the polishing progress during shallow trench isolation chemical mechanical planarization. © 2011 Elsevier B.V. All rights reserved.
- Borucki, L. J., Sun, T., Zhuang, Y., Slutz, D., & Philipossian, A. (2010). Pad topography, contact area and hydrodynamic lubrication in chemical-mechanical polishing. Materials Research Society Symposium Proceedings, 1157, 9-14.More infoAbstract: Material removal during CMP occurs by the activation of slurry particles at contact points between pad summits and the wafer. When slurry is present and the wafer is sliding, contacts become lubricated. We present an analysis valid over the fill range from static contact to hydroplaning that indicates that CMP usually operates in boundary or mixed lubrication mode at contacts and that the lubrication layer is nanometers thick. The results suggest that the sliding solid contact area is mainly responsible for the friction coefficient while both the solid contact and lubricated areas control the removal rate. © 2009 Materials Research Society.
- DeNardis, D., Rosales-Yeomans, D., Borucki, L., & Philipossian, A. (2010). A three-step copper chemical mechanical planarization model including the dissolution effects of a commercial slurry. Thin Solid Films, 518(14), 3910-3916.More infoAbstract: The etching behavior of copper oxide by diluted oxidant-free slurry as a function of temperature was characterized and a three-step copper removal rate model was proposed. Pre-oxidized wafers were exposed to the diluted slurry for times up to 500 s for three temperatures and mass loss was monitored. For the highest temperature, 60 °C, all of the oxide available for reaction was etched in 90 s, however the 25 and 40 °C results did not reach saturation. Measurements up to saturation were used for modeling. A one-dimensional model was proposed where the diffusion of the complexant through a byproduct film found to exist on the wafer surface after etching controlled the process. The model fits the data well with two parameters, Ea and A, which were found to be 86.9 kJ mol- 1 and 4.12 × 10- 2 mol cm- 1 s- 1, respectively. Similar to a previous copper oxidation study, the rate of copper consumption from dissolution was found to be a function of a characteristic reaction byproduct film thickness. However, the dissolution rates demonstrated a much weaker function of film thickness than the oxidation profiles. For this slurry, the etching process controls the combined oxidation and etching system and static oxidation-dissolution experiments agreed well with the dissolution model. The methodology and modeling developed in this work can be directly applied to other commercial or experimental slurry formulations to quantify the dissolution characteristics of the formulation. Once the static dissolution characteristics of a slurry formulation are quantified, use of the proposed three-step removal rate model will provide a more accurate depiction of the relative chemical and mechanical contributions of a given consumable set. © 2009 Elsevier B.V. All rights reserved.
- DeNardis, D., Rosales-Yeomans, D., Borucki, L., & Philipossian, A. (2010). Studying the effect of temperature on the copper oxidation process using hydrogen peroxide for use in multi-step chemical mechanical planarization models. Thin Solid Films, 518(14), 3903-3909.More infoAbstract: Given that the mechanism responsible for removal during copper chemical mechanical planarization (CMP) is generally accepted to be based on the cyclic oxidation of copper and the subsequent removal of copper oxide, this study characterizes the copper oxide growth process as a function of temperature in aqueous hydrogen peroxide solutions. A copper oxidation model was proposed based on cation migration to adequately represent measured copper oxide growth profiles as a function of temperature. The two parameters extracted to fit the oxidation profiles, W and V, in the proposed model are related to activation energy of cation migration and the potential developed across the oxide film, respectively. The potential was found to be 0.95 V and did not vary with temperature. The activation energy was found to be 0.84 ± 0.01 eV and increased slightly with temperature. This slight increase, on the order of 2 to 3 kcal, has been previously reported and attributed to an increase in activation energy of cation solution in the oxide. The oxidized copper formation rates calculated suggest that the typical oxide thicknesses involved during the cyclic oxide growth and removal mechanism in copper CMP are between 7 and 12 Å. Though the oxidation model parameters are extracted from copper oxidation experiments on the minute time scale, there are a number of experimental, physical, and theoretical arguments that suggest the model represents the actual physical system and is applicable to the sub-second timescales involved during the oxidation processes in copper CMP. © 2009 Elsevier B.V. All rights reserved.
- Han, Z., Zhuang, Y., Sampurno, Y., Meled, A., Jiao, Y., Wei, X., Cheng, J., Moinpour, M., Hooper, D., & Philipossian, A. (2010). Tribological and kinetic characterization of 300-mm copper chemical mechanical planarization process. Advanced Metallization Conference (AMC), 282-283.More infoAbstract: The tribological and kinetic attributes of 300-mm copper chemical mechanical planarization process were characterized in this study. Boundary lubrication was the dominant tribological mechanism for Cabot Microelectronics Corporation DlOO concentrically grooved pad. For Dow Electronic Materials IClOOO K-groove pad, the tribological mechanism transitioned from boundary lubrication to partial lubrication. For both pads, copper removal rate exhibited highly non-Prestonian behavior. A two-step modified Langmuir-Hinshelwood model was used to simulate copper removal rate, wafer surface reaction temperature, as well as chemical and mechanical rate constants. The simulated copper removal rates agreed very well with the experimental values. The simulated chemical rate constant to mechanical rate constant ratios indicated that the IC1000 generally produced a more mechanically controlled removal mechanism in this study.
- Han, Z., Zhuang, Y., Sampurno, Y., Meled, A., Jiao, Y., Wei, X., Cheng, J., Moinpour, M., Hooper, D., & Philipossian, A. (2010). Tribological and kinetic characterization of 300-mm copper chemical mechanical planarization process. ECS Transactions, 27(1), 587-592.More infoAbstract: The tribological and kinetic attributes of 300-mm copper chemical mechanical planarization process were characterized in this study. Coefficient of friction (COF) ranged from 0.39 to 0.59 for the Cabot Microelectronics Corporation D100 concentrically grooved pad, indicating that boundary lubrication was the dominant tribological mechanism. In comparison, COF decreased sharply from 0.55 to 0.03 for the Dow Electronic Materials IC1000 K-groove pad, indicating that the tribological mechanism transitioned from boundary lubrication to partial lubrication. For both pads, copper removal rate exhibited highly non-Prestonian behavior. A two-step modified Langmuir-Hinshelwood model was used to simulate copper removal rate, wafer surface reaction temperature, as well as chemical and mechanical rate constants. The simulated copper removal rates agreed very well with the experimental values. The simulated chemical rate constant to mechanical rate constant Tatios indicated that the IC1000 pad generally produced a more mechanically controlled removal mechanism in this study. ©The Electrochemical Society.
- Jiao, Y., Zhuang, Y., Han, Z., Liao, X., Sampuno, Y. A., Naman, A., & Philipossian, A. (2010). Effect of temperature on pad surface contact area in chemical mechanical planarization. Advanced Metallization Conference (AMC), 286-287.More infoAbstract: In this study, the effect of temperature on pad surface contact area in chemical mechanical planarization was investigated. A custom-made sample holder was designed to heat the pad sample and measure the pad surface contact area under elevated temperatures. Results show that when the pad surface temperature increased from 25 to 35 °C, the contact area percentage increased from 0.029% to 0.051% under the pressure of 4 psi. When the pad surface temperature increased from 35 to 45 °C, the contact area percentage increased further to 0.092%.
- Lee, H., Zhuang, Y., Borucki, L., Joh, S., O'Moore, F., & Philipossian, A. (2010). Investigation of pad staining and its effect on removal rate in copper chemical mechanical planarization. Thin Solid Films, 519(1), 259-264.More infoAbstract: In copper chemical mechanical planarization process, stains are often generated on the pad surface due to the build-up of polishing by-products. Pad staining is a major concern because it might affect defect, non-uniformity across the wafer, and removal rate variation during polishing. In this study, the characteristics of stains formed on an IC1000 XY grooved pad obtained under various polishing conditions were investigated. In addition, wafers were polished on an IC1000 plain pad to determine the effect of hydrodynamic pressure on staining pattern. Experiments were performed on a table-top axisymmetric polishing system consisting of a 300-mm non-rotating platen and 100-mm rotating wafers. Stains were successfully generated on the pad surface and X-ray photoelectron spectroscopy (XPS) analysis confirmed that the stains contained copper polishing by-products. As the stains deposited on the pad land areas were darker in the direction of wafer rotation as well as in the pad radial direction, it was believed that staining agents were produced during polishing and subsequently advected downstream by the slurry flow. Although staining increased with polishing pressure, wafer rotation rate, polishing time and slurry flow rate, it did not seem to affect removal rate. The white light interferometric analysis indicated that the stains did not physically change the pad surface topography. It was observed that the hydrodynamic pressure significantly impacted the staining pattern on an IC1000 plain pad. © 2010 Elsevier B.V. All rights reserved.
- Lee, H., Zhuang, Y., Sugiyama, M., Seike, Y., Takaoka, M., Miyachi, K., Nishiguchi, T., Kojima, H., & Philipossian, A. (2010). Pad flattening ratio, coefficient of friction and removal rate analysis during silicon dioxide chemical mechanical planarization. Thin Solid Films, 518(8), 1994-2000.More infoAbstract: Pad flattening ratio (PFR) was investigated as a non-destructive pad surface analysis method on the IC1000 K-groove pad during silicon dioxide chemical mechanical planarization. The PFR defines as the ratio of the bright area to the total image area. A series of marathon polishing runs were performed under ex-situ diamond disc pad conditioning, ex-situ high-pressure micro jet (HPMJ) pad conditioning and no pad conditioning methods where PFR analysis was performed to estimate the amount of pad surface flattening or glazing under these conditions. With no conditioning, PFR increased rapidly to 40% indicating severe glazing. With ex-situ diamond disc pad conditioning, PFR remained relatively constant below 12%, suggesting a rough and stable pad surface for polishing, while with ex-situ HPMJ conditioning it increased gradually and stabilized at the value of about 23%. Real-time analysis of friction force and silicon dioxide removal rate showed a clear correlation among the PFR, the coefficient of friction and the removal rate during the silicon dioxide chemical mechanical planarization. © 2009 Elsevier B.V. All rights reserved.
- Meled, A., Borucki, L., Sampurno, Y., Zhuang, Y., Theng, S., & Philipossian, A. (2010). Novel slurry injector device for chemical mechanical planarization. Advanced Metallization Conference (AMC), 156-157.More infoAbstract: This paper describes a novel slurry injector device for chemical mechanical planarization (CMP). The device exhibits superior properties compared to the standard pad center injection method as evidenced by: (1) improved slurry utilization, (2) minimal mixing of fresh slurry with water or old slurry, (3) higher removal rate at a given slurry flow rate, (4) same removal rate with significantly lower slurry consumption and (5) lower wafer-level defects.
- Meled, A., Sampurno, Y., Sudargho, F., Zhuang, Y., & Philipossian, A. (2010). Diamond disc diagnostic method based on "dry" coefficient of friction measurements. Electrochemical and Solid-State Letters, 13(12), H457-H459.More infoAbstract: A method for determining the dry coefficient of friction (COF) of chemical mechanical planarization conditioner discs for purposes of quality assessment and problem diagnostics was presented. First, two "known good" (K1 and K2) and one "known bad" (K3) diamond discs were characterized. Results showed that the COF of K3 was significantly higher than K1 and K2 thus suggesting that the COF can be potentially used as a screening metric for disc quality. Second, the study confirmed the existence of a correlation between dry COF and the total area of the furrows generated by the active diamonds such that the COF increased with the total area of the furrows. © 2010 The Electrochemical Society.
- Meled, A., Sampurno, Y., Zhuang, Y., & Philipossian, A. (2010). Slurry-induced pad wear rate in chemical mechanical planarization. Electrochemical and Solid-State Letters, 13(3), H52-H54.More infoAbstract: In this study, the extent of the pad wear rate during the shallow trench isolation chemical mechanical planarization process was investigated for several combinations of the type of abrasive particles (i.e., colloidal and fumed silica), abrasive content, and abrasive concentration. Results showed a relation between pad wear rate and abrasive concentration for both fumed and colloidal slurries. Results indicated that the pad wear rate using the colloidal silica slurry was higher by approximately 42 and 6% at 3 and 25 wt % silica, respectively. Under the same abrasive content, pad wear rate for fumed slurry was 23% higher compared to colloidal slurry. © 2009 The Electrochemical Society.
- Meled, A., Zhuang, Y., Wei, X., Cheng, J., Sampurno, Y. A., Borucki, L., Moinpour, M., Hooper, D., & Philipossian, A. (2010). Analyses of diamond disk substrate wear and diamond microwear in copper chemical mechanical planarization process. Journal of the Electrochemical Society, 157(3), H250-H255.More infoAbstract: Diamond disk substrate wear and diamond microwear in the copper chemical mechanical planarization process were investigated in this study. Three types of disks (D1, D2, and D3) made by three different manufacturers were analyzed. For each type of disk, 24 h static etch tests were performed with Fujimi PL-7103 and Cabot Microelectronics Corporation iCue 600Y75 slurries at 25 and 50°C. Scanning electron microscopy (SEM) analysis showed that there was no appreciable microwear on the diamond after the static etch tests for all three types of disks. Disks D1 and D3 showed no appreciable corrosion on the diamond disk substrate for both slurries at both temperatures. In comparison, disk D2 showed apparent surface corrosion using the Fujimi PL-7103 slurry at 25 and 50°C and the Cabot Microelectronics Corporation iCue 600Y75 slurry at 50°C. Inductively coupled plasma-mass spectroscopy (ICPMS) analysis was performed before and after the static etch tests to investigate metal concentration increases in the slurry due to diamond disk substrate corrosion. The ICPMS analysis was consistent with the SEM images, showing a significant Ni concentration increase in the slurry for disk D2 with the Fujimi PL-7103 slurry at 25 and 50°C and the Cabot Microelectronics Corporation iCue 600Y75 slurry at 50°C. In addition to the above static etch tests, 24 h wear tests were performed on each type of diamond disks with Fujimi PL-7103 and Cabot Microelectronics Corporation iCue 600Y75 slurries at two different platen temperatures (25 and 50°C). SEM analysis was performed on selected aggressive and inactive diamonds as well as on the surrounding disk substrate before and after the wear tests. SEM images showed that there was microwear on the cutting edges of the aggressive diamonds for disks D1 and D3 with both slurries at 25 and 50°C. For disk D2, there was microwear on the cutting edges of the aggressive diamond with the Fujimi PL-7103 slurry at 25°C and with the Cabot Microelectronics Corporation iCue 600Y75 slurry at 25 and 50°C, and the aggressive diamond broke off from the disk substrate with the Fujimi PL-7103 slurry at 50°C. The SEM images also showed that there was no microwear on the inactive diamond for all three types of disks with both slurries at 25 and 50°C, confirming that the inactive diamonds did not participate in regenerating pad asperities during conditioning. The pad thickness profile was measured after the wear tests, and the effect of platen temperature on pad wear rate was investigated. © 2010 The Electrochemical Society.
- Sampurno, Y., Philipossian, A., Theng, S., Nemoto, T., Xun, G. u., Zhuang, Y., Teramoto, A., & Ohmi, T. (2010). Optimizing pad groove design and polishing kinematics for reduced shear force, low force fluctuation and optimum removal rate attributes of copper CMP. Materials Research Society Symposium Proceedings, 1157, 3-8.More infoAbstract: The effect of polisher kinematics on average and standard deviation of shear force and removal rate in copper CMP is investigated. A 'delamination factor' consisting of average shear force, standard deviation of shear force, and required polishing time is defined and calculated based on the summation of normalized values of the above three components. In general, low values of the 'delamination factor' are preferred since it is believed that they minimize defects during polishing. In the first part of this study, 200-mm blanket copper wafers are polished at constant platen rotation of 25 RPM and polishing pressure of 1.5 PSI with different wafer rotation rates and slurry flow rates. Results indicate that at the slurry flow rate of 200 ml/min, 'delamination factor' is lower by 14 to 54 percent than at 400 ml/min. Increasing wafer rotation rate from 23 to 148 RPM reduces 'delamination factor' by approximately 50 percent and improves removal rate within-wafer-non-uniformity by appx. 2X. In the second part of this study, polishing is performed at the optimal slurry flow rate of 200 ml/min and wafer rotation rate of 148 RPM with different polishing pressures and platen rotation rates. Results indicate that 'delamination factor' is reduced significantly at the higher ratio of wafer to platen rotation rates. © 2009 Materials Research Society.
- Sampurno, Y., Sudargho, F., Meled, A., Zhuang, Y., & Philipossian, A. (2010). Novel diamond disc diagnostic method based on 'dry' coefficient of friction measurements. ECS Transactions, 27(1), 645-650.More infoAbstract: This paper presents an accurate, consistent and rapid novel method for determining the dry coefficient of friction of conditioner discs. The first part of the study dealt with characterizing 3 diamond discs (i.e. two 'known good' and one 'known bad' diamond discs with the same product number) on top of dry polycarbonate sheets. Results showed that the coefficient of friction of the 'known bad' disc was significantly higher than the two 'known good' discs. As further evidence, primary and secondary vibrational frequency amplitudes from spectral analysis of shear forces showed the 'known bad' disc to have lower average values compared to the two 'known good' discs. The second part of the study had to do with determining whether dry coefficient of friction and total surface area of the furrows generated by the active diamonds on top of dry polycarbonate sheets could be correlated. Results show that coefficient of friction increased with total surface area of the furrows. For diamond disc conditioner manufacturers, this work should provide useful information and rapid disc assessment for improving existing manufacturing processes or for developing new products. The work also underscores the importance of screening diamond conditioner discs before being used in IC manufacturing to improve productivity and COO in CMP modules. ©The Electrochemical Society.
- Sampurno, Y., Xun, G., Nemoto, T., Zhuang, Y., Teramoto, A., Philipossian, A., & Ohmi, T. (2010). End-point detection of Ta/TaN chemical mechanical planarization via forces analysis. Japanese Journal of Applied Physics, 49(5 PART 3), 05FC011-05FC014.More infoAbstract: This study explores the transition of shear force spectral fingerprints during tantalum (Ta) and/or tantalum nitride (TaN) chemical mechanical planarization on patterned wafers using a polisher and tribometer that has the unique ability to measure shear force and down force in real-time. Fast Fourier Transformation is performed to convert the raw force data from time domain to frequency domain and to illustrate the amplitude distribution of shear force and down force. Results show that coefficient of friction, variance of shear force and variance of down force increase during polishing when the Ta/TaN layer is removed thus exposing the inter-layer dielectric layer. Unique and consistent spectral fingerprints are generated from shear force data showing significant changes in several fundamental peaks before, during and after Ta/TaN clearing. Results show that a combination of unique spectral fingerprinting, coefficient of friction and analysis of force variance can be used to monitor in real-time the polishing progress during Ta/TaN chemical mechanical planarization for optimal polishing time.© 2010 The Japan Society of Applied Physics.
- Smith, J. E., Wargo, C., Kakireddy, R., Singh, R., Galpin, A., Philipossian, A., Wei, X., Bennedine, K., Reversat, C., & Chabourel, A. (2010). Retaining ring design impact on CMP process stability and optimization. Materials Research Society Symposium Proceedings, 1249, 53-60.More infoAbstract: The focus of this work is wafer retaining rings and their impact on chemical mechanical planarization (CMP) process stability, yield, and overall cost of ownership (CoO). The study looks at various CMP retaining ring materials and processing methods. Tribological investigations as well as wafer processing are critical to understand the retaining ring and polishing pad environment. Interactions at the ring/pad interface have a major effect on the planarization and defectivity of a polished wafer. Shear and normal forces at this interface, as well as temperature and lubrication regimes, were monitored to establish an empirical model. All process conditions equal, the material properties of retaining rings govern the coefficient of friction (COF) in the ring and pad contact area. Present study demonstrates a lower COF to be an indicator of extended ring lifetime, decreasing WTWNU and removal rate (RR) variation. The study correlates the findings on wafer level data from high volume manufacturing fabs with empirical data generated using applications lab tribological equipment to understand the on-wafer performance as a function of retaining ring material. The study's further aim is to understand for specific applications, the material interactions on-wafer using various retaining ring materials. CMP process optimization can be attained with a better understanding of retaining ring design and material characteristics, as well as polishing head and slurry parameters. © 2010 Materials Research Society.
- Sun, T., Borucki, L., Zhuang, Y., & Philipossian, A. (2010). Investigating the effect of diamond size and conditioning force on chemical mechanical planarization pad topography. Microelectronic Engineering, 87(4), 553-559.More infoAbstract: Pad conditioning plays an important role in chemical mechanical planarization processes as it directly impacts pad topography and polishing performance. As predicted by the conditioning, friction and removal rate theories, the conditioning process impacts polishing rate through a key measure of the pad surface known as surface abruptness (λ). In this study, incremental loading as well as interferometry methods are used to analyze pad surface topography in terms of λ when the pads are conditioned using discs with different diamond grit sizes at different loads. Moreover, the pad surface is analyzed mechanically and compared in both dry and moist conditions. Results agree well with the theoretical predictions both in the dry and the moist conditions. © 2009 Elsevier B.V. All rights reserved.
- Sun, T., Borucki, L., Zhuang, Y., Sampurno, Y., Sudargho, F., Wei, X., Anjur, S., & Philipossian, A. (2010). Investigating effect of conditioner aggressiveness on removal rate during interlayer dielectric chemical mechanical planarization through confocal microscopy and dual emission ultraviolet-enhanced fluorescence imaging. Japanese Journal of Applied Physics, 49(2 Part 1).More infoAbstract: The effect of conditioner aggressiveness is investigated in interlayer dielectric polishing on three types of pad. A method using confocal microscopy is used to analyze the effect of conditioner aggressiveness on pad-wafer contact. Results show that a more aggressive conditioner produces a higher interlayer dielectric polishing rate while at the same time a pad surface with fewer contacting summits and less contact area. It is found that the ratio of the contacting summit density to the contact area fraction is more important than either parameter measured separately since the ratio determines the mean real contact pressure. Modeling results based on contact area measurements agree well with experimental results. Moreover, it is found that a more aggressive disc also generates a thicker slurry film at the pad-wafer interface. This is in agreement with our general findings regarding pad asperity height distribution obtained using confocal microscopy. © 2010 The Japan Society of Applied Physics.
- Sun, T., Zhuang, Y., Borucki, L., & Philipossian, A. (2010). Characterization of pad-wafer contact and surface topography in chemical mechanical planarization using laser confocal microscopy. Japanese Journal of Applied Physics, 49(6 PART 1), 0665011-0665014.More infoAbstract: In this study, an optical method using laser confocal microscopy was developed to measure the surface contact area and topography of pads under a dry and static condition. A custom-made pad sample holder with a sapphire window and a miniature load cell was used to collect pad surface contact images at controlled loads. By extracting the black spots in the collected images, pad contact area and contact summit density were obtained. The analysis of a post polishing pad sample (8;289×921 μm2) showed that the contact area increased from 0.026 to 0.045% when the pressure increased from 2 to 4 psi and increased further to 0.059% when the pressure increased to 6 psi. The contact summit density also exhibited a linear increase with the applied pressure. The above results were consistent with the Greenwood and Williamson theory, which predicted a linear relationship between pad contact area and contact summit density. Laser confocal microscopy was also used to measure pad surface topography by establishing probability density functions (PDFs) of pad surface height. © 2010 The Japan Society of Applied Physics.
- Sun, T., Zhuang, Y., Borucki, L., & Philipossian, A. (2010). Optical and mechanical characterization of chemical mechanical planarization pad surfaces. Japanese Journal of Applied Physics, 49(4 PART 1), 0465011-0465015.More infoAbstract: Both contact and non-contact methods are used to analyze surface properties of three types of chemical mechanical planarization (CMP) pads: plain, XY grooved, and concentrically grooved. Optical interferometry is used to probe the pad surface without contact and to produce a surface height probability density function (PDF). The right hand contacting tail of the PDF is often found to be exponential for CMP pads and a decay length (λ) as a measure of pad surface abruptness can be extracted. An incremental loading device is developed and used to measure the pad surface mechanical response. A pad-wafer contact model based on Greenwood and Williamson theory is used to interpret the nonlinear features of the pad surface compression data, which, furthermore, enables independent calculation of λ from mechanical data. Surface abruptness (λ) extracted from both methods (optical and mechanical) is found to be consistent for all three types of pads. No significant difference is observed in the pad surface abruptness among the three types of pads. © 2010 The Japan Society of Applied Physics.
- Wei, X., Sampurno, Y. A., Zhuang, Y., Dittler, R., Meled, A., Cheng, J., Wargo, C., Stankowski, R., & Philipossian, A. (2010). Effect of retaining ring slot design on slurry film thickness during CMP. Electrochemical and Solid-State Letters, 13(4), H119-H121.More infoAbstract: This article studied the effect of retaining ring slot designs on the slurry film thickness within the pad-wafer interface during chemical mechanical planarization (CMP). Two retaining rings, with "standard" and "alternative" slot designs, were tested. Slurry film thickness within the pad-wafer interface was measured during polishing using dual emission UV-enhanced fluorescence. Results showed that slurry flow rate, pressure, and pad-wafer rotational rate had impacts on the slurry film thickness. Under the same polishing condition, the ring with the "alternative" slot design generated, on average, 30% thicker slurry film compared with the ring with the "standard" slot design. © 2010 The Electrochemical Society.
- Wei, X., Zhuang, Y., Sampurno, Y., Sudargho, F., Wargo, C., Borucki, L., & Philipossian, A. (2010). Tribological, thermal, and wear characteristics of poly(phenylene sulfide) and polyetheretherketone retaining rings in interlayer dielectric CMP. Electrochemical and Solid-State Letters, 13(11), H391-H395.More infoAbstract: Retaining rings made of poly(phenylene sulfide) (PPS) and polyetheretherketone (PEEK) with two different slot designs were subjected to a 4 h wear test. During the chemical mechanical planarization (CMP) process, the PPS retaining ring induced a higher coefficient of friction (COF) by ∼0.1 than the PEEK retaining rings. In addition, the PPS retaining ring exhibited a higher wear rate than the PEEK retaining rings by ∼28%. Although the retaining ring slot design did not significantly affect the COF and wear rate, retaining rings with sharp slot edges resulted in higher pad surface abruptness. © 2010 The Electrochemical Society.
- Xun, G. u., Nemoto, T., Sampurno, Y., Cheng, J., Theng, S. N., Philipossian, A., Zhuang, Y., Teramoto, A., Ito, T., Sugawa, S., & Ohmi, T. (2010). Novel end-point detection method by monitoring shear force oscillation frequency for barrier metal polishing in advanced LSI. Materials Research Society Symposium Proceedings, 1157, 157-162.More infoAbstract: A novel end-point detection method based on a combination of shear force and its spectral amplitude was proposed for barrier metal polishing on copper damascene structures. Under some polishing conditions, the shear force changed significantly with polished substrate. On the other hand, the change in shear force was insignificant under certain polishing conditions. Therefore, a complementary end-point detection method by monitoring oscillation frequency of shear force was proposed. It was found that the shear force fluctuated in unique frequencies depending on polished substrates. Using Fast Fourier Transformation, the shear force data was converted from time domain to frequency domain. The amplitude of spectral frequencies corresponding to the rotational rate of wafer carrier and platen was monitored. Significant frequency amplitude changes were observed before, during and after the polished layer transition from barrier film to silicon dioxide film. The results indicated that a combination of shear force and its spectral amplitude analyses provided effective end-point detection for barrier CMP process. © 2009 Materials Research Society.
- Zhuang, Y., Liao, X., Borucki, L. J., Theng, S., Wei, X., Ashizawa, T., & Philipossian, A. (2010). Effect of pad micro-texture on frictional force, removal rate, and wafer topography during copper CMP process. ECS Transactions, 27(1), 599-604.More infoAbstract: In this study, the effect of pad micro-texture on frictional force, removal rate, and wafer topography during copper CMP process was investigated. 200-mm blanket copper wafers and Sematech854 patterned wafers were polished and pad samples were taken after wafer polishing. Pad contact area and surface topography were analyzed using a laser confocal microscope. The Mitsubishi Materials Corporation (MMC) 100-grit TRD disc generated much larger flat near contact areas that corresponded to conditioning debris and fractured/collapsed pore walls. The conditioning debris and fractured/collapsed pore walls partly covered the adjacent pores, making the pad surface more lubricated and rendering a lower coefficient of friction and removal rate compared with the 3M A2810 disc. The mean summit curvature generated by the MMC disc was larger than the 3M disc during patterned wafer polishing, indicating sharper pad summits contributed to higher dishing for the MMC disc. ©The Electrochemical Society.
- Borucki, L., Lee, H., Zhuang, Y., Nikita, N., Kikuma, R., & Philipossian, A. (2009). Theoretical and experimental investigation of conditioner design factors on tribology and removal rate in copper chemical mechanical planarization. Japanese Journal of Applied Physics, 48(11).More infoAbstract: Three theories connecting conditioning with material removal rates by the coefficient of friction are proposed and experimentally verified. The conditioning theory is employed to provide a specific prediction on how the number of active diamonds, cut rate, and cut furrow geometry affect pad surface abruptness. The same surface abruptness parameter was a factor in both the coefficient of friction and removal rate theories. The theory predicts a priori that friction and removal rate should decrease as the conditioned surface became less abrupt. Simple models of cut rate and active diamond count further indicate that abruptness should decrease with increasing conditioner load. Mitsubishi Materials Corporation conditioners with 60, 100, and 200 grit sizes are used to test the theory in an experiment in which conditioner load is varied from light to heavy. Polishing experiments and pad profilometry verified the main predictions of the theory. © 2009 The Japan Society of Applied Physics.
- Borucki, L., Philipossian, A., & Goldstein, M. (2009). An analysis of potential 450mm CMP tool scaling questions. Solid State Technology, 52(12), 10-13.More infoAbstract: Since the invention of the integrated circuit, microchips have been fabricated on progressively larger silicon wafers to take advantage of the economies that can be realized from being able to process more die simultaneously. In the early 1970s, a 50mm wafer could hold about fifty 0.5 × 0.5cm dice. More than 36 times as many die of the same size can now be processed on a 300mm wafer. Even allowing for the greater cost of the larger wafer and the tools needed to process it, the growth in wafer area has historically been a significant factor in reducing the cost per die. This article discusses the considerations that arise with respect to chemical mechanical planarization (CMP) for 450mm wafer manufacturing.
- Lee, H., Borucki, L., Zhuang, Y., Joh, S., O'Moore, F., & Philipossian, A. (2009). Analysis of formation of pad stains in copper chemical mechanical planarization. Japanese Journal of Applied Physics, 48(12).More infoAbstract: A stain model was developed to simulate stain formation on the pad surface in copper chemical and mechanical planarization (CMP). The model consisted of the incompressible Navier-Stokes equations, the heat equation with advection, material removal rate model, a model for generation, transport and deposition of the polishing by-product that produces the stain. Slurry velocity simulations showed shear flow on the land areas and wafer-driven circulation in the grooves. The simulated temperature on the pad and the wafer surface increased gradually in the radial direction; furthermore, temperature simulations showed a 12 °C rise in the reaction temperature on the copper wafer surface. The simulated pad stains deposited on the copper land areas were darker in the direction of wafer rotation, suggesting that the generated staining agents were advected downstream by the slurry flow and deposited on the pad surface in the direction of the wafer rotation. Simulated stain images were in qualitative agreement with experimental results. © 2009 The Japan Society of Applied Physics.
- Philipossian, A., & Sun, T. (2009). Frictional analysis of various poly(vinyl alcohol) brush roller designs for post-interlevel dielectric cmp scrubbing applications. Electrochemical and Solid-State Letters, 12(3), H84-H87.More infoAbstract: Tribological attributes of a post-chemical mechanical planarization (CMP) brush-scrubbing process are investigated as a function of brush surface design and wafer substrate topography. Two types of brushes with different surface designs are tested on both silicon dioxide blanket wafer and shallow trench isolation patterned wafer substrates. Results show that brush design strongly impacts the frictional and lubrication characteristics of the scrubbing process, which suggests the need for customized brush surface designs for improved cleaning efficiency. © 2009 The Electrochemical Society. All rights reserved.
- Sampurno, Y., Borucki, L., Zhuang, Y., Misra, S., Holland, K., Boning, D., & Philipossian, A. (2009). Characterization of thermoset and thermoplastic polyurethane pads, and molded and non-optimized machined grooving methods for oxide chemical mechanical planarization applications. Thin Solid Films, 517(5), 1719-1726.More infoAbstract: This paper systematically studies the effect of pad material, grooving method and grooving pattern on interlayer dielectric chemical mechanical planarization. The tested polishing pads consist of thermoplastic and thermoset polyurethanes synthesized using two different processes. Grooves created using a molding technique are compared with grooves formed by mechanical cutting. The concentric groove design is also compared with the logarithmic positive spiral positive grooving design. Experimental data collected include removal rate, coefficient of friction, shear force variance, pad temperature and dynamic mechanical analyzer measurements. Scanning electron microscope images are used to correlate grooving methods with coefficient of friction and shear force variance measurements. Results show that all of the pads polish wafers in boundary lubrication mode with unique friction coefficient, shear force variance and pad temperature characteristics. Simulations using a two-step removal rate mechanism are performed to estimate the chemical and mechanical rate constants. The analysis indicates that the thermoplastic pad is more mechanically controlled than the thermoset pad and that molded grooving induces a more mechanically controlled process than non-optimized machined grooving. © 2008 Elsevier B.V. All rights reserved.
- Sampurno, Y., Philipossian, A., Theng, S., Nemoto, T., Xun, G. u., Zhuang, Y., Teramoto, A., & Ohmi, T. (2009). Effect of polisher kinematics in reducing average and variance of shear force and increasing removal rate in copper CMP. ECS Transactions, 18(1 PART 1), 465-471.More infoAbstract: The effect of polisher kinematics on average and variance of shear force and removal rate in copper CMP is investigated. A 'delamination triangle' consisting of average shear force, variance of shear force, and required polishing time is defined, and 'delta' is calculated based on the product of the above three components. In general, low values of 'delta' are preferred to minimize defects during polishing. In the first part of this study, 200-mm blanket copper wafers are polished at constant platen rotation of 25 RPM and polishing pressure of 1.5 PS1 with different wafer rotational rates and slurry flow rates. Results indicate that at the slurry flow rate of 400 ml/min, 'delta' is higher by 50 to 290 percent than at 200 ml/min, and increasing wafer rotational rate from 23 to 148 RPM reduces 'delta' by more than 90 percent and improves removal rate within-wafer-non-uniformity by 2X. In the second part of this study, polishing is performed at the optimal slurry flow rate of 200 ml/min and wafer rotational rate of 148 RPM with different polishing pressures and platen rotational rates. Results indicate that 'delta' is reduced significantly at higher ratio of wafer to platen rotational rates. ©The Electrochemical Society.
- Sampurno, Y., Sudargho, F., Zhuang, Y., Ashizawa, T., Morishima, H., & Philipossian, A. (2009). Effect of cerium oxide particle sizes in oxide chemical mechanical planarization. Electrochemical and Solid-State Letters, 12(6), H191-H194.More infoAbstract: This study explored the effect of different cerium oxide abrasive particle sizes in chemical mechanical planarization of 200 mm blanket plasma-enhanced tetraethylorthosilicate wafers. All polishing experiments were done with a polisher and tribometer capable of measuring shear force and down force in real-time. Coefficient of friction and removal rate were found to correlate well with the slurry median particle size distribution. Removal rate modeling based on particle size was explored to support the interpretation of the experimental results. © 2009 The Electrochemical Society.
- Sampurno, Y., Zhuang, Y., Xun, G. u., Theng, S., Nemoto, T., Sun, T., Sudargho, F., Teramoto, A., Philipossian, A., & Ohmi, T. (2009). Effect of various cleaning solutions and brush scrubber kinematics on the frictional attributes of post copper CMP cleaning process. Diffusion and Defect Data Pt.B: Solid State Phenomena, 145-146, 363-366.More infoAbstract: Brush scrubbing has been widely used in post chemical mechanical planarization (CMP) applications to remove contaminations, such as slurry residues and particles, from the wafer surface. During brush scrubbing, particle removal results from direct contact between a soft poly vinyl alcohol (PVA) brush and the wafer surface in which the brush asperities engulf the particles while the rotating motion of the brush, as well as the cleaning fluid at the surface, dislodge and carry the particles away from the wafer. The cleaning performance of brush scrubbing depends heavily on the choice of the cleaning solution and brush scrubber kinematics. In this work, the effect of various cleaning solutions and brush scrubber kinematics on the frictional attributes of post copper CMP cleaning process was investigated. © (2009) Trans Tech Publications.
- Rosales-Yeomans, D., DeNardis, D., Borucki, L., Suzuki, T., & Philipossian, A. (2008). Analysis of pads with slanted grooves for copper CMP. Journal of the Electrochemical Society, 155(10), H750-H763.More infoAbstract: This investigation presents the analysis of concentric grooves with different degrees and directions of slant for the optimization of copper chemical mechanical planarization (CMP) processes. Taking into consideration the common industrial application of the concentric groove pattern, in this study pads were prepared with concentrical grooves having different degrees and direction of slant, such as 0° (zero), ±20°, and ±30°. The slanted groove pads were tested and statistically compared to each other in terms of removal rate, average coefficient of friction, and average pad leading edge temperature. Theoretical examination of the experimental data was performed to establish the mechanical and chemical contributions to the process. A three-step model, in combination with a previously developed flash heating temperature model, was proposed for copper CMP. This model presented an expression to characterize the rate of oxide growth and the addition of a third step to characterize the dissolution rate of copper oxide. The root-mean-square error after predicting the removal rate behavior with the three-step model fell between 351 and 445 Amin, while the experimental repeatability error fell in the range of 150 to 590 Amin for all pads tested in this study. © 2008 The Electrochemical Society.
- Rosales-Yeomans, D., DeNardis, D., Borucki, L., Suzuki, T., Sampurno, Y., & Philipossian, A. (2008). Evaluation of pad groove designs under reduced slurry flow rate conditions during copper CMP. Journal of the Electrochemical Society, 155(10), H812-H818.More infoAbstract: The main objective of this investigation is to verify if "smart" groove designs can increase slurry utilization, by controlling the amount of slurry transferred from the pad grooves to the land area-wafer interface, resulting in process optimization. Based on previous studies concerning Logarithmic-Spiral as well as Concentric Slanted grooves, two groove designs were selected to be evaluated and compared to the popular industrial groove design (concentric grooves) under reduced slurry flow rate conditions during copper polishing. The effect of several process parameters were investigated, including pad groove design, applied wafer pressure, and slurry flow rate. Theoretical examination of the experimental data was performed by applying a three-step copper RR model, in order to establish the effect of groove designs on the chemical and mechanical mechanisms present during copper chemical mechanical polishing (CMP). © 2008 The Electrochemical Society.
- Rosales-Yeomans, D., Denardis, D., Borucki, L., & Philipossian, A. (2008). Design and evaluation of pad grooves for copper CMP. Journal of the Electrochemical Society, 155(10), H797-H806.More infoAbstract: Variations in the chemical mechanisms of copper chemical-mechanical planarization (CMP) can appear due to the effect of pad grooving on (i) net flow under the wafer, (ii) pad, wafer, and slurry temperature, and (iii) reactants and polish debris concentration. Furthermore, changes in the mechanical abrasion of the passive film might appear due to the effect of pad grooving on (i) slurry film thickness under the wafer, (ii) friction force at the pad-wafer interface, (iii) pad compressibility, and (iv) pad-wafer contact area. The effective transport of slurry in and out of the pad-wafer interface becomes critical particularly for processes in which by-products are detrimental to polishing rates. By combining logarithmic and spiral grooves, paths are created to introduce fresh slurry into, and spent slurry and debris out of, the pad-wafer interface. The experimentally grooved pads were tested and statistically compared to a commercial pad in terms of removal rate (RR), average coefficient of friction, and average pad leading edge temperature. Also a flat (i.e., not grooved) pad was included in this study to evaluate in general the effect of pad grooves in copper CMP. The results indicate that the pad achieving the highest relative values for RR, coefficient of friction (COF-), and T- p is the one that combines a negatively directed logarithmic groove with a positively directed spiral groove. This pad results in a 24% increase in RR and a 28% increase in COF- compared to the concentrically grooved pad. To establish the mechanical and chemical contributions to the process, experimental data were then theoretically evaluated. A three-step model in combination with a previously developed flash heating (FH) temperature model was proposed for copper CMP. In all cases, the model root-mean-square (rms) error fell in the range of 322- 674 Åmin, while the experimental repeatability error was in the range of 118- 1100 Åmin. This model presented an expression to characterize the rate of oxide growth (k1) and the addition of a third step to characterize the dissolution rate of copper oxide (k3). The relative values of k1 and k2 (mechanical rate constant) as a function of pV showed that the process was more limited by film removal through mechanical abrasion, especially at low values of pV. However, as pV increased this limitation was reduced and there was a transition to a more balanced process. © 2008 The Electrochemical Society.
- Sampurno, Y., Borucki, L., Misra, S., Holland, K., Zhuang, Y., & Philipossian, A. (2008). Effect of pad break-in time and in-situ pad conditioning duty cycle for porous and non-porous pads in CMP. 2008 Proceedings - 13th International Chemical-Mechanical Planarization for ULSI Multilevel Interconnection Conference, CMP-MIC 2008, 224-230.More infoAbstract: This paper explores shear force spectral fingerprinting to understand the effect of break-in time and in-situ pad conditioning duty cycle during copper CMP. Polishing is carried out on a system that has a unique ability to measure shear force in real-time. Using Fast Fourier Transformation, shear force data is converted from time domain to frequency domain. In the first set of experiments, porous and non-porous pads are 'broken-in' for different durations. Results show that, under identical polishing conditions, porous and non-porous pads have opposing effects on removal rate and coefficient of friction. Furthermore, shear force variance increases with pad break-in time and unique and consistent spectra emerge which show increasing fundamental peaks for longer break-in times. In the second set of experiments, pad conditioning is performed during copper CMP for 0, 25, 50, 75 and 100 percent of the total polishing time using the non porous pad. Results show that removal rate and the coefficient of friction are not affected b? conditioning duty cycle while shear force variance is found to increase with duty cycle up to 50 percent, after which saturation is reached. Further investigation of shear force spectra indicates spectral similarities among the 50, 75 and 100 percent duty cycles thus suggesting similar conditioning outcomes for these three processes. Furrow density Monte Carlo simulations of various break-in and conditioning methods noted above are performed. Results indicate that the fundamental peaks seen in the experimental results are most likely generated by the pad conditioner. This work underscores the importance of pad break-in to achieve early steady-state polishing through optimum break-in time and to extend pad life through an optimum conditioning duty cycle.
- Sampurno, Y., Sudargho, F., Zhuang, Y., Ashizawa, T., Morishima, H., & Philipossian, A. (2008). Pattern evolution studies in STI CMP via real-time shear and down force spectral analyses. 2008 Proceedings - 13th International Chemical-Mechanical Planarization for ULSI Multilevel Interconnection Conference, CMP-MIC 2008, 48-53.More infoAbstract: This study explores the transition of force spectral fingerprints of STT CMP during early evolution of wafer topography and layer transition from silicon dioxide to silicon nitride. Polishing was done with a novel polisher and tribometer capable of measuring shear force and down force in real-time. Fast Fourier Transformation is performed to convert the force data from time to frequency domain and to illustrate the amplitude distribution of the force. Such frequency spectra provide in-depth insights into the interactions among abrasive particles, pad and wafer. STI patterned wafers are over-polished using cerium oxide slurry. Results show that shear force increases during polishing when the HDP oxide layer is removed thus exposing the Si3N 4 layer. Unique and consistent spectral fingerprints are generated showing significant changes in several fundamental peaks during the early evolution of wafer topography and subsequent layer transition to silicon nitride polishing. Variance of force is also plotted to show the progression of pattern evolution. Results show that a combination of unique spectral fingerprinting and analysis of force variance (based on shear and down force) can be used to monitor in real-time the polishing progress during STI CMP.
- Sampurno, Y., Sudargho, F., Zhuang, Y., Goldstein, M., & Philipossian, A. (2008). Feasibility of real-time detection of abnormality in inter layer dielectric slurry during chemical mechanical planarization using frictional analysis. Thin Solid Films, 516(21), 7667-7674.More infoAbstract: Material removal rate, coefficient of friction, shear force and variance of shear force of pure and contaminated slurries are studied using spectral analysis of the frictional force. Larger abrasive particles are introduced into commercially available inter layer dielectric chemical mechanical planarization slurry to explore this effect. Results show that trace amounts of larger abrasive particles are transported effectively to the pad-wafer region during polishing. With certain type of consumables investigated in this study, results indicate that contaminated slurry does not significantly change removal rate, however it induces significantly higher coefficient of friction, shear force and variance of shear force than pure slurry. Spectral analysis based on the raw shear force data is employed to elucidate the fundamental physical phenomena during inter layer dielectric chemical mechanical planarization. Fast Fourier Transformation is performed to convert the shear force data from time domain to frequency domain. The energy distribution of polishing using pure and contaminated slurry is quantified to elucidate the effect of trace amount of larger particles. This work also underscores the importance of real-time detection on chemical mechanical planarization process to detect slurries abnormality. © 2008.
- Sun, T., & Philipossian, A. (2008). Method for determining the lubrication mechanism of post-ILD CMP brush scrubbing. Electrochemical and Solid-State Letters, 11(8), H214-H217.More infoAbstract: A method using spectral analysis of friction data is established to determine the tribological mechanism of post-interlevel dielectric (post-ILD) chemical mechanical planarization (CMP) brush scrubbing as a function of solution pH, pressure, and tool kinematics. Spectral analysis based on real-time raw friction data is used to quantify the total amount of mechanical interaction in the brush-fluid-wafer interface in terms of stick-slip phenomena. Friction force variance (2) criterion is established to determine the tribological mechanism during scrubbing, and compared to the classical method by constructing and interpreting Stribeck curves. © 2008 The Electrochemical Society.
- Wei, X., Cheng, J., Meled, A., Zhuang, Y., Borucki, L., Moinpour, M., Hooper, D., & Philipossian, A. (2008). Characterizing diamond disc substrate loss and diamond micro-wear in copper CMP. 2008 Proceedings - 13th International Chemical-Mechanical Planarization for ULSI Multilevel Interconnection Conference, CMP-MIC 2008, 322-327.
- Zhuang, Y., Borucki, L., & Philipossian, A. (2008). Fundamental characterization of diamond disc, pad, and retaining ring wear in chemical mechanical planarization processes. Proceedings - Electrochemical Society, PV 2008-1, 576-585.More infoAbstract: Diamond discs, pads, and retaining rings are critical consumables subjected to wear in chemical mechanical planarization (CMP) processes. In this work, methods that are used to characterize diamond disc, pad, and retaining ring wear are introduced and examples are reviewed to illustrate some of the wear mechanisms to which consumables are subjected. For diamond disc characterization, a proprietary method is used to locate the active diamonds that actually make contacts with the pad and create cutting furrows during conditioning. Scanning electron microscopy indicates that wear normally occurs on the cutting edges of the active diamonds. For pad macro wear, an example is shown to illustrate that an optimized conditioning sweep schedule can generate a much more uniform pad cut rate profile. For pad surface micro wear characterization, interferometry analysis is used to establish pad surface height probability density functions and extract pad surface abruptness, and confocal microscopy analysis is used to analyze pad surface contact area. Interferometry analysis is also used to characterize retaining ring wear, which not only allows retaining rings to be subjected to significantly shorter than usual wear time, but also provides more accurate estimate of local wear rates than conventional micrometry or weight loss measurements.
- Borucki, L., & Philipossian, A. (2007). Modeling. Microelectronic Applications of Chemical Mechanical Planarization, 171-200.
- Borucki, L., Zhuang, R., Zhuang, Y., Philipossian, A., & Rikita, N. (2007). CMP active diamond characterization and conditioner wear. Materials Research Society Symposium Proceedings, 991, 3-13.More infoAbstract: Using a pad substitute material, we measure the number of active or working diamonds on a conditioner and find that it is generally a small fraction of the total number on the disc. The number of active diamonds also increases with the applied load and varies somewhat with sliding direction. However, even among the active diamonds, most do relatively little cutting. A few diamonds on a disc do most of the deep bulk cutting, a larger fraction skim the higher areas of the pad surface and most of the diamonds on the disc apparently merely help to support the load. While all of the diamonds that make contact, cutting or otherwise, may show evidence some of mechanical wear, wear of the small number of deep bulk cutting diamonds may be responsible for declining cut rates and for surprisingly low observed conditioner lifetimes. © 2007 Materials Research Society.
- Hyosang, L., Yun, Z., Borucki, L., O'Moore, F., Sooyun, J., & Philipossian, A. (2007). Experimental investigation and numerical simulation of pad stain formation during copper CMP. Materials Research Society Symposium Proceedings, 991, 165-170.More infoAbstract: Experiments and simulations were performed to investigate the characteristics of staining on pad surface. Experiments were performed on a table-top axisymmetric polishing system, consisting of a 12-inch non-rotating platen and a 4-inch rotating wafer carrier. Stain deposited on each land area was found to be darker in the direction of wafer rotation and in the radial direction, suggesting that the staining agent was produced by mechanical action during polishing and subsequently was advected downstream by slurry flow. While staining increased with polishing pressure, wafer rotation rate and polishing time, it did not seem to affect removal rate. Slurry velocity simulations showed shear flow on the land areas and wafer-driven circulation in the grooves. Temperature simulations showed a 12 °C rise in the reaction temperature on the surface of the copper wafer. Simulated stain images were in qualitative agreement with experimental results. © 2007 Materials Research Society.
- Ting, S., Borucki, L., Yun, Z., & Philipossian, A. (2007). Investigation of diamond grit size and conditioning force effect on CMP pads topography. Materials Research Society Symposium Proceedings, 991, 45-49.More infoAbstract: In this study, incremental loading and interferometry analysis were performed on Rohm and Haas IC1000 plain pad surfaces to investigate the effects of diamond size and conditioning force on pad topography. The Rohm and Haas IC1000 plain pad was conditioned by Mitsubishi Materials Corporation 100-grit and 325-grit diamond discs under 3.6 and 8.0 lb. Two pad samples were taken for each disc and each conditioning force test. For each pad sample, a custom-made incremental loading device (ILD) was used to measure pad surface mechanical response under different loads. When loads were applied to the pad sample surface, the pad surface displacement was measured. A decay length was extracted from the log plot of the pressure ratio vs. the change in pad surface displacement. The decay length generally increased with the diamond size and conditioning force. Both dry and wet pad samples were analyzed by the incremental loading device. Results showed that wet pad samples had higher decay lengths than dry pad samples. Separately, optical interferometry was used to probe dry pad sample surfaces without contact and produce surface height probability density functions (PDFs). For all pad samples, the pad surface height PDFs exhibited an exponential tail and a decay length was extracted, which represented the distance over which the pad surface height probability dropped by a factor of 1/e. The decay lengths extracted from the optical interferometry analysis were consistent with those extracted from the incremental loading experiments, indicating that the optically measurable pad surface characteristic had a practical interpretation in terms of the pad surface mechanical response. © 2007 Materials Research Society.
- Zhuang, Y., Borucki, L., Rikita, N., Sudargho, F., Sampumo, Y., Wei, X., Steward, G., & Philipossian, A. (2007). Investigation of diamond effect on pad topography, friction force and removal rate during ILD CMP Process. 2007 Proceedings - 12th International Chemical-Mechanical Planarization for ULSI Multilevel Interconnection Conference, CMP-MIC 2007, 277-282.More infoAbstract: In this study, two 4.25-inch Mitsubishi Materials Corporation 100-grit discs were used to investigate the effect of diamonds on pad topography, friction force and removal rate for ILD CMP process. Diamonds of Disc A are harder and have longer cutting lengths than Disc B. Before the polishing tests, a proprietary method was used to analyze the number of active diamonds and the mean furrow shape on a pad substitute material for each disc under 3.6 and 6.1 lb loads. The two discs have similar number of active diamonds. On the other hand, the furrow shape analysis indicates Disc A cuts deeper into the pad substitute material than Disc B. In addition, Disc A has higher pad substitute material cut rates than Disc B. Both discs were used to condition an IC1020 M-groove pad, on which 200-mm blanket TEOS wafers were polished. For both discs, the coefficients of friction (COF) increase with the sliding velocity. This trend is consistent with the previously developed COF model. The Wilcoxon signed-rank test indicates that the COF and removal rates for Disc A are higher than Disc B, and the removal rate within wafer non-uniformities for Disc A are better than Disc B. For each disc, a pad sample was taken after the polishing tests and interferometry analysis was performed to establish pad surface height probability density functions and extract pad surface abruptness. Results show that Disc A generates a rougher pad surface than Disc B, resulting in higher COF and removal rates.
- Zhuang, Y., Sampurno, Y. A., Sudargho, F., Steward, G., Barthel, H., Mayer, E., Gottschalk-Gaudig, T., Stintz, M., Kaetzel, U., Nogowski, A., Goldstein, M., & Philipossian, A. (2007). Screening study on frictional force analysis in relation to silica abrasive and slurry properties. Materials Research Society Symposium Proceedings, 991, 233-238.More infoAbstract: In this study, different amounts of standard fumed silica and fumed silica contaminated by coarse particles was added as powder to a standard copper CMP slurry to investigate their effects on large particle count, mean particle size, slurry viscosity, frictional force during wafer polishing, and copper removal rate. Standard silica powder consisted of the same particles used in the standard slurry while contaminated silica powder consisted of the same particles used in the standard slurry and additional large size particles. Large particle count analysis indicated that slurry dispersion itself generated large size particles in the slurries. The addition of 0.3% and 1% contaminated silica to the standard slurry caused significant increases in large particle count, and the mean particle size increased with the amount of contaminated silica added to the standard slurry. The slurry viscosity generally increased with the amount of standard and contaminated silica added to the standard slurry under the shear rate of 100 s-1. The standard slurry and slurries added with 0.3% and 1% contaminated silica were used to polish 200-mm blanket copper wafers on the APD-500 polisher that has the unique ability to measure frictional force in real time during polishing. The coefficient of friction increased with the amount of contaminated silica added to the standard slurry. In general, the removal rates for the slurry added with 1% contaminated silica were higher than the standard slurry and slurry added with 0.3% contaminated silica. © 2007 Materials Research Society.
- Zwicker, G., Borst, C., Economikos, L., & Philipossian, A. (2007). Materials Research Society Symposium Proceedings: Preface. Materials Research Society Symposium Proceedings, 991, xi.
- Borucki, L., Rikita, N., Zhuang, Y., Lee, H., Zhuang, R., Yamashita, T., Kikuma, R., & Philipossian, A. (2006). Causal analysis of conditioner design factors on removal rates in copper CMP. 2006 Proceedings - 11th International Chemical-Mechanical Planarization for ULSI Multilevel Interconnection Conference, CMP-MIC 2006, 272-279.More infoAbstract: A theory of conditioning, friction, and material removal is outlined that connects pad surface topography from conditioning with removal rates. The theory predicts a priori that friction and removal rate should both decrease as the conditioned surface becomes less abrupt. Simple models of cut rate and active diamond count also further indicate that abruptness should decrease with increasing conditioner load. Three Mitsubishi Materials Corporation conditioners with different grit sizes are used to test the theory in an experiment in which the conditioner load is varied from light to heavy. Polishing experiments and pad profilometry verify the main predictions of the theory.
- Charns, L., & Philipossian, A. (2006). Tribology and removal rate characteristics of chemical mechanical planarization pads containing water soluble particles. Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers, 45(7), 5696-5701.More infoAbstract: Novel non-porous pads incorporating different amounts of embedded water soluble particles (WSP) have been characterized and compared to a conventional porous pad for interlayer dielectric (ILD) chemical mechanical planarization (CMP) applications. Removal rate results indicated that polishing with WSP pads was Prestonian in nature (similar to conventional porous pads). A decrease in removal rate at high combinations of pressure and velocity was observed during in-situ conditioning with WSP pads. This anomalous behavior was most likely due to the alternatively feeding and starving the wafer of slurry during in-situ conditioning since doubling the flow rate resolved the problem. The anomalous behavior, however, was not observed when conditioning was performed ex-situ. Fractional analysis indicated that polishing with WSP pads proceeded via boundary lubrication like the other porous pads with concentrically grooved surface geometries. © 2006 The Japan Society of Applied Physics.
- DeNardis, D., Rosales-Yeomans, D., Borucki, L., & Philipossian, A. (2006). Characterization of copper-hydrogen peroxide film growth kinetics. Thin Solid Films, 513(1-2), 311-318.More infoAbstract: As copper chemical mechanical planarization modeling efforts become increasingly more sophisticated, it is important to understand the individual steps that have been found to play integral roles in the removal process such as oxidation, film dissolution, abrasion, and dissolution of by-products. This study focuses on copper oxidation using H2O2, which is the most common oxidant added to slurries in the industry today. Ellipsometry, atomic adsorption, scanning electron microscopy (SEM), and X-ray photoelectron spectroscopy (XPS) techniques were used to study copper film growth characteristics. Ellipsometric results complemented microbalance results concluding that copper film growth occurs in hydrogen peroxide solutions of varying concentrations at pH 5. The film growth profile for 1 wt.% hydrogen peroxide reaches a saturation point at approximately 500 Å after about 12 h. Growth profiles for 0.25 and 1 wt.% H2O2 are similar, while significantly faster growth occurs at 4 wt.% H2O2. SEM images reveal that 50-100 nm copper oxide/hydroxide crystals are observed for t < 60 min and 200-300 nm crystals are formed at 24 h, indicating that reaction by-products diffusing away from the film-metal interface contribute to film growth. XPS spectra indicate Cu(I) and Cu(II) oxides and Cu(OH)2 for t < 5 min and only cupric oxide and hydroxide for t > 10 min. © 2006 Elsevier B.V. All rights reserved.
- DeNardis, D., Seike, Y., Takaoka, M., Miyachi, K., & Philipossian, A. (2006). Investigation of high-pressure micro jet technology as an alternative to diamond disc conditioning in ILD CMP. Wear, 260(11-12), 1224-1231.More infoAbstract: The efficacy of an alternative to conventional diamond conditioning in chemical mechanical planarization (CMP) was evaluated in this study. The high pressure micro jet (HPMJ) system sprays ultra-pure water (UPW) at pressures ranging from 10 to 20 MPa onto a CMP pad to clean the pad of slurry residue, remove embedded slurry particles, and re-establish pad asperities. The system is employed in an ex situ fashion and is compared to in situ and ex situ diamond conditioning as well as using no conditioning. Real-time frictional force acquisition allows for coefficient of friction (COF) analysis, which indicates the extent of pad wear. Removal rate analysis, SEM imagery, and pad surface profilometry are also used to evaluate HPMJ as an alternative conditioning technology. Removal rates significantly lower than those associated with diamond conditioning are obtained for the HPMJ system when UPW conditioning is directly followed by polishing. SEM imagery and pad profilimetry indicate these low HPMJ removal rates are due to differences in pad surface chemistry, not pad surface topography. Experiments including a 30 s silicon wafer polish with slurry following HPMJ conditioning to re-establish pad surface chemistry were performed and result in removal rates that are comparable to those obtained using ex situ conditioning. The removal rates obtained using HPMJ conditioning for relative wafer-platen velocities of 0.31 and 0.62 m/s are 8 and 1% higher than those obtained using ex situ diamond conditioning and 18% lower than those obtained using ex situ diamond conditioning for 0.93 m/s. The average COF values for HPMJ conditioning using the intermediate silicon wafer polishes are 15% lower than average COF values associated with ex situ diamond conditioning, suggesting a possible increase in pad life for the HPMJ system. © 2005 Elsevier B.V. All rights reserved.
- Denardis, D., Doi, T., Hiskey, B., Ichikawa, K., Ichikawa, D., & Philipossian, A. (2006). Modeling copper CMP removal rate dependency on wafer pressure, velocity, and dissolved oxygen concentration. Journal of the Electrochemical Society, 153(5), G428-G436.More infoAbstract: A controlled atmosphere polishing system (CAP) was used to identify differences in copper chemical mechanical polishing (CMP) removal characteristics by changing oxygen partial pressure. A two-step kinetic mechanism was proposed, including a copper surface passivation layer formation and subsequent removal. A semiempirical, two-parameter model has been developed to simulate removal rates for multiple wafer pressures, pad-wafer velocities, and oxygen concentrations. The model accurately predicts removal trends with calculated root-mean-square errors of 77-125 Amin. A major advantage of the CAP system is that a point-of-use gaseous oxidant was successfully used to polish copper substrates, and slight changes in oxidant partial pressure were found to significantly affect removal rate trends. © 2006 The Electrochemical Society. All rights reserved.
- Gray, C., Rogers, C., Manno, V., Vlahakis, J., Barns, C., Moinpour, M., Anjur, S., Philipossian, A., & Borucki, L. (2006). Asperity size distribution near wafer features during CMP. 2006 Proceedings - 11th International Chemical-Mechanical Planarization for ULSI Multilevel Interconnection Conference, CMP-MIC 2006, 593-596.More infoAbstract: Dual Emission Laser Induced Fluorescence (DELIF) is used to attain in-situ images of the slurry layer between the polishing pad and wafer during chemical mechanical planarization (CMP). The slurry layer under a flat wafer takes the shape of the polishing pad. As a wafer feature passes over the pad, the pad adapts to the wafer shape and expands into the well. Here, we present a comparison of the shape of the polishing pad inside and outside 14 urn deep wafer wells. By comparing asperity size distribution histograms we see no asperity compression inside the wells. However, in the region outside the wells where the pad and the wafer are in contact, asperities are compressed approximately 2.5um with an applied pressure to the wafer of 6.0 psi. In addition to asperity compression we observe an increase in pad-wafer contact from the 0.5 psi to the 6.0 psi case.
- Lee, H., Seike, Y., Zhonglin, L. i., Zhuang, Y., Takaoka, M., Miyachi, K., & Philipossian, A. (2006). Characterization of slurry residues in pad grooves for diamond disc and high pressure micro jet pad conditioning processes. Japanese Journal of Applied Physics, Part 2: Letters, 45(46-50), L1325-L1327.More infoAbstract: Slurry residues inside pad grooves are quantified by UV-enhanced fluorescence (UVEF) technique for conventional diamond disc and high pressure micro jet (HPMJ) pad conditioning methods. Results show that with HPMJ pad conditioning, slurry residues inside pad grooves decrease with time at twice the rate compared to diamond disc conditioning. Therefore, this suggests that HPMJ pad conditioning is a viable method to extend pad life and possibly improve wafer-level defectivity. © 2006 The Japan Society of Applied Physics.
- Philipossian, A., & Rosales-Yeomans, D. (2006). The spectral fingerprints and the sounds of chemical mechanical planarization processes. Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers, 45(3 A), 1553-1559.More infoAbstract: Spectral analysis of friction data obtained during inter layer dielectric chemical mechanical planarization (ILD CMP) was used effectively to elucidate the tribology of the process in terms of stick-slip phenomena by quantifying the total amount of mechanical interaction in the pad-slurry-wafer interface as a function of various pad types and textures, fumed silica concentrations, relative pad-wafer velocities and applied wafer pressures. A new parameter termed the "interfacial interaction index" (γ) was defined and determined empirically by integrating the amplitude of the force spectra over a wide range of frequencies. In 85% of the cases investigated, values of γ extracted from individual force spectra quantitatively agreed with the tribological information obtained from Stribeck curve analysis over a wide range of operating conditions. The newly developed method was remarkable from the standpoint of its potential to eliminate having to perform a multitude of experiments needed for constructing and interpreting Stribeck curves. For a given tribological mechanism, analysis of the spectra for various types of pad textures indicated significant differences in the "spectral fingerprint" of various pads depending on their texture, as well as on the type of slurry and processing conditions. This notion was further extended to reproducing the "sound" of CMP by superimposing the sounds and tempi of individual peaks in the spectrum on one another. It is envisaged that the aforementioned pad "fingerprinting" can provide a pathway for fundamental analysis of the effect of pad grooving on stick-slip phenomena which will eventually lead to improved pad designs. © 2006 The Japan Society of Applied Physics.
- Philipossian, A., Lee, H., Babu, S. V., Patri, U., Hong, Y., Economikos, L., Goldstein, M., Zhuang, Y., & Borucki, L. (2006). Study of inhibition characteristics of slurry additives in copper CMP using force spectroscopy. ECS Transactions, 2(2), 515-522.More infoAbstract: Using a reference slurry, ammonium dodecyl sulfate (ADS), an anionic and environmentally friendly surfactant, was investigated as an alternative to BTA for its inhibition and lubrication characteristics. Results demonstrated that the inhibition efficiency of ADS was superior to that of BTA. Coefficient of friction (COF) was the lowest when the slurry contained ADS. This suggested that adsorbed ADS on the surface provided lubricating action thereby reducing the wear between the contacting surfaces. Temperature results were consistent with the COF and removal rate data. ADS showed the lowest temperature rise again confirming the softening effect of the adsorbed surfactant layer and less energy dissipation due to friction. Spectral analysis of shear force showed that increasing the pad-wafer sliding velocity at constant wafer pressure shifted the high frequency spectral peaks to lower frequencies while increasing the variance of the factional force. Addition of ADS reduced the fluctuating component of the shear force and the extent of the pre-existing stick-slip phenomena caused by the kinematics of the process and collision event between pad asperities with the wafer. By contrast, in the case of BTA, there were no such observed benefits but instead undesirable effects were seen at some polishing conditions. This work underscored the importance of real-time force spectroscopy in elucidating the adsorption, lubrication and inhibition of additives in slurries in CMP. Copyright The Electrochemical Society.
- Rosales-Yeomans, D., Borucki, L., Doi, T., Lujan, L., & Philipossian, A. (2006). Implications of wafer-size scale-up on frictional, thermal, and kinetic attributes of interlayer dielectric CMP process. Journal of the Electrochemical Society, 153(4), G272-G277.More infoAbstract: The interlayer dielectric (ILD) chemical mechanical polishing (CMP) process was characterized using frictional forces, material removal rates, thermal measurements, and theory. Experiments were performed on a novel 200 mm tribometer in which friction force was acquired in two directions, giving a complete resolution of the force vector in the CMP process. A thermal study of the pad surface was conducted using an infrared video camera to simultaneously measure temperature changes. A Langmuir-Hinshelwood model with a reaction temperature based on a flash heating hypothesis was applied to the experimental data to evaluate the chemical and mechanical contributions during ILD CMP. The results obtained from the 200 mm tribometer were compared to those from a 100 mm tribometer. Results showed that the scale-up of the ILD process from 100 to 200 mm caused a transition from a mechanically limited regime, in which it was still possible to detect thermal effects, to a higher degree of mechanical limitation where it was no longer possible to detect thermal effects. © 2006 The Electrochemical Society. All rights reserved.
- Seike, Y., Lee, H., Takaoka, M., Miyachi, K., Amari, M., Doi, T., & Philipossian, A. (2006). Development of a pad conditioning process for interlayer dielectric CMP using high-pressure micro jet technology. Journal of the Electrochemical Society, 153(3), G223-G228.More infoAbstract: Diamond conditioning was compared to an alternative method, namely high-pressure micro jet (HPMJ) conditioning, through a series of interlayer dielectric chemical mechanical planarization (ILD CMP) marathon tests. The two systems were compared individually and in combination on the basis of ILD removal rate (RR), coefficient of friction (COF), and the physical appearance of the pad surface (both on the top areas as well as inside the grooves). Results indicated that diamond conditioning alone was effective in causing RR and COF stability during extended runs, but it could not clean the slurry residues and other by-products from the surface of the pad (especially inside the grooves). Results also showed that HPMJ conditioning was able to effectively clean the pad surface, despite not providing enough energy to abrade the surface of the pad and maintain constant RR and COF during extended polishing. Based on these findings, a new pad conditioning method based on a combination of diamond and HPMJ conditioning was proposed. Results showed that this new method allowed for stable polish results in terms of RR and COF during extended marathon runs, and also yielded substantially residue-free surfaces, which could extend pad life and reduce wafer-level defect. © 2006 The Electrochemical Society. All rights reserved.
- Zhonglin, L. i., Lee, H., Borucki, L., Rogers, C., Kikuma, R., Rikita, N., Nagasawa, K., & Philipossian, A. (2006). Effects of disk design and kinematics of conditioners on process hydrodynamics during copper CMP. Journal of the Electrochemical Society, 153(5), G399-G404.More infoAbstract: This study focuses on determining the effect of conditioner disk design, kinematics, and pressure on the slurry distribution under the wafer as measured by the slurry film thickness between the wafer and the pad during actual polishing. Film thicknesses are measured using dual emission UV-enhanced fluorescence, which for thickness measurement requires the slurry to be tagged with two different fluorescent dyes. Results indicate that the wafer is tilted toward the center of the pad and that the extent of wafer tilt is a strong function of conditioning disk pressure. Increasing the oscillation frequency of the conditioner disk or the rotation rate decreases the slurry film thickness and the film thickness increases with slurry flow rate. © 2006 The Electrochemical Society. All rights reserved.
- Zhuang, Y., Borucki, L., Dien, E., Ennahali, M., Michel, G., Laborie, B., Keswani, M., Rosales-Yeomans, D., Lee, H., & Philipossian, A. (2006). Frictional, thermal and kinetic characterization of a novel ceria based abrasive slurry for silicon dioxide CMP. 2006 Proceedings - 11th International Chemical-Mechanical Planarization for ULSI Multilevel Interconnection Conference, CMP-MIC 2006, 532-539.More infoAbstract: In this study, a novel slurry containing ceria as the abrasive particles was analyzed in terms of its frictional, thermal and kinetic attributes for interlayer dielectric (ILD) CMP application. The novel slurry was used to polish 200-mm blanket ILD wafers on an ICI000 K-groove pad with in-situ conditioning. Polishing pressures ranged from 1 to 5 PSI and the sliding velocity ranged from 0.5 to 1.5 m/s. Shear force and pad temperature were measured in real time during the polishing process. The frictional analysis indicated that boundary lubrication was the dominant tribological mechanism. The measured average pad leading edge temperatures increased from 26.4 to 38.4 °C with the increase in polishing power. The ILD removal rates also increased with the polishing power, ranging from 400 to 4000 A/min. The ILD removal rates deviated from Prestonian behavior at the highest ptimes; V polishing condition and exhibited a strong correlation with the measured average pad leading edge temperature. A modified two-step Langmuir-Hinshelwood kinetic model was used to simulate the ILD removal rates. In this model, transient flash heating temperature was assumed to dominate the chemical reaction temperature. The model successfully captured the variable removal rate behavior at the highest p× V polishing condition and indicated that the polishing process was mechanical limited in the low p× V polishing region and became chemically and mechanically balanced with increasing polishing power.
- Zhuang, Y., Keswani, M., Borucki, L., Zhuang, R., Lacy, M., Spiro, C., & Philipossian, A. (2006). Experimental and theoretical investigation of slurry chemical and mechanical characteristics in copper polishing. 2006 Proceedings - 11th International Chemical-Mechanical Planarization for ULSI Multilevel Interconnection Conference, CMP-MIC 2006, 395-400.More infoAbstract: Mechanical and chemical rate characteristics of Cabot Microelectronics Corporation iCue 600Y75 and iCue EP-C7092 slurries are examined in this study on IC1000 K-groove pads. Copper polishing was performed on a 200 mm Fujikoshi polisher at polishing pressures of 1, 2 and 3 PSI and sliding velocities of 0.3, 0.75 and 1.2 m/sec. Two slurry flow rates (120 and 200 ml/min) were used. During polishing, the coefficient of friction was measured in real time and the pad leading edge temperature was measured with an IR camera. Data were subsequently modeled with a two-step chemical-mechanical removal rate model in which the reaction temperature is attributed in part to flash heating of asperities involved in pad/wafer contact. The model provides separate estimates of the chemical and mechanical rates. It was assumed that the pre-exponential factor in the Arrhenius expression for the chemical rate depends only on the slurry type and that the flash heating parameters are related only to the pad material properties. A proportionality constant in the mechanical rate, similar to a Preston coefficient, was allowed to vary independently for each slurry/pad/flow rate combination. This constrained and structured model analysis describes the observed non-Prestonian copper removal rates very well.
- Apone, D., Gray, C., Rogers, C., Manno, V. P., Barns, C., Moinpour, M., Anjur, S., & Philipossian, A. (2005). Instantaneous fluid film imaging in chemical mechanical planarization. Materials Research Society Symposium Proceedings, 867, 167-173.More infoAbstract: Dual Emission Laser Induced Fluorescence (DELIF) is employed to attempt to experimentally determine the nature of the lubrication regime in Chemical Mechanical Planarization. Our DELIF setup provides images of the polishing slurry between the wafer and pad. Static images were taken to provide a baseline, then dynamic runs were conducted. Analyzing these images shows that the wafer only contacts the pad in a small number of places around the wafer, mainly due to the pad's topography. © 2005 Materials Research Society.
- Borucki, L., Li, Z., Sampurno, Y., Sorooshian, J., Zhuang, Y., & Philipossian, A. (2005). Flash heating in chemical-mechanical polishing. 2005 Proceedings - 10th International Chemical-Mechanical Planarization for ULSI Multilevel Interconnection Conference, CMP-MIC 2005, 168-175.More infoAbstract: The chemical reaction temperature in the chemical step of chemical-mechanical polishing can be modeled by a simple compact model in which the temperature rise above ambient has the form of a power law in the sliding speed. Analytical modeling of heating of the wafer by pad asperities suggests that the local wafer temperature rise above ambient is approximately the sum of a pad leading edge temperature increment plus a flash heating increment. One of the factors in the flash temperature rise is the fraction of frictional heat transferred to the pad. Finite element heat transfer analysis of lubricated sliding contact of an asperity against a workpiece indicates that the heat transfer factor can be approximated very well by a power law in the velocity, explaining why the simple compact model works. Furthermore, the detailed thermal analysis makes it possible to relate reaction temperature parameters to basic pad, slurry and lubrication layer physical properties. Applications are shown for copper polishing.
- Borucki, L., Philipossian, A., & Zhuang, Y. (2005). Physics and modeling of fundamental CMP phenomena. 2005 Proceedings - 22nd International VLSI Multilevel Interconnection Conference, VMIC 2005, 175-180.More infoAbstract: The implications of a theory of lubricated pad asperity wafer contact are traced through several fundamental areas of chemical-mechanical polishing. The hypothesized existence of a nanolubrication layer underlies a high accuracy model of polish rates. It also provides a quantitative explanation of a power law relationship between the coefficient of friction and a measure of pad surface flattening. The theory may further be useful for interpreting friction changes during polishing, and may explain the mechanism by which the elastic vibrational frequency of the polishing pad shows up in shear force spectral data.
- Borucki, L., Sampurno, Y., Zhuang, Y., Philipossian, A., Merchant, T., & Zabasajja, J. (2005). Measurement of diamond conditioner microwear. 2005 Proceedings - 22nd International VLSI Multilevel Interconnection Conference, VMIC 2005, 441-445.More infoAbstract: Diamond wear is measured on a conditioning tool by numerical matching of interferometry images taken in overlapping areas before and after extended wear testing. The matching algorithm finds the largest common region shared by the two images and reorients the final image in space to produce the best possible match with the initial image in the binding metallization. By characterizing the images using surface height probability density functions, peaks in the height distributions can be identified that correspond to single diamonds or groups of diamonds. Shifts in the peaks after wear testing then provide an estimate of the mean wear rate. The average diamond wear rate on polyurethane was found to be about 5×10-4 μm/min at 2 PSI nominal pressure, with higher diamonds wearing faster than shorter ones and diamonds on the outside edge of the conditioning tool wearing slightly faster than those in the center. Electron microscopy confirmed the presence of microwear. Microwear was observed to coincide with a gradual decline in pad cut rate.
- Charns, L., Sugiyama, M., & Philipossian, A. (2005). Mechanical properties of chemical mechanical polishing pads containing water-soluble particles. Thin Solid Films, 485(1-2), 188-193.More infoAbstract: Non-porous pads containing varying amounts of embedded water-soluble particles (WSP) have been characterized and compared to porous pads for interlayer dielectric chemical mechanical planarization (CMP) applications. In situ infrared imaging of the pad shows temperatures increasing as a function of pressure and velocity. Results also indicate that pad surface temperatures can increase up to 10 °C for a 1-min polish. Thermal information proves to be a critical component in explaining the viscoelastic response of the pad as a result of CMP process temperatures. Glass transition temperatures for WSP-containing pads occur within standard CMP operating temperatures (20-40 °C). Comparative storage modulus trends demonstrate steeper decreasing slopes with increasing temperature for WSP-containing pads than the IC-1000. The gradually decreasing slope for the IC-1000 is a sign of a highly cross-linked material. WSP-containing pads also exhibit a greater energy loss due to heat as quantified by the difference in tan δ. Regardless of whether a pad is new or used, dynamic mechanical analysis results indicate similar bulk properties. © 2005 Elsevier B.V. All rights reserved.
- DeNardis, D., Rosales-Yeomans, D., Borucki, L., & Philipossian, A. (2005). Characterization of copper-hydrogen peroxide film growth kinetics. 2005 Proceedings - 22nd International VLSI Multilevel Interconnection Conference, VMIC 2005, 620-624.More infoAbstract: As copper CMP modeling efforts become increasingly more sophisticated, it is important to understand the individual steps that have been found to play integral roles in the removal process such as oxidation, film dissolution, abrasion, and dissolution of byproducts. This study focuses on copper oxidation using H2O2, which is the most common oxidant added to slurries in the industry today. Ellipsometry, field emission scanning electron microscopy (FESEM), and X-ray photoelectron spectroscopy (XPS) techniques were used to characterize copper film growth characteristics. Ellipsometric results concluded that copper film growth occurs in hydrogen peroxide solutions of varying concentrations at pH 5. The film growth profile for 1 weight percent hydrogen peroxide reaches a saturation point at approximately 500 Angstroms after about 12 hours. SEM images reveal that 50-100 nm crystals are observed for t < 60 hour and 200-300 nm crystals are formed at 22 hours, indicating that reaction by-products diffusing away from the film-metal interface contribute to film growth. XPS spectra indicate the presence of Cu (II) and possibly Cu (I) oxides and hydroxides for all t > 0.
- Denardis, D., Doi, T., Hiskey, B., Ichikawa, K., Ichikawa, D., & Philipossian, A. (2005). Impact of gaseous additives on copper CMP in neutral and alkaline solutions using a CAP system. Journal of the Electrochemical Society, 152(11), G824-G830.More infoAbstract: A controlled atmosphere polishing (CAP) system was used to determine the effects of various chamber gases on copper chemical mechanical polishing (CMP) in the presence and absence of NH4OH and H2O2. Using 500 kPa oxygen or nitrogen has only slight effects on copper removal rates in the presence of 1 wt % H2O2. Polishing without H 2O2, performed with controlled oxygen partial pressure, demonstrates removal rates that are 4 times higher than using nitrogen. Polishing using inert gases alone demonstrates an oxidant-starved system that reflects little dependence on wafer pressure or velocity. Addition of NH 4OH (pH 10) to experiments using oxidizing gases, such as oxygen and air, increases removal rates up to 3×. Removal rates vary linearly with oxygen partial pressure using oxidizing gases for experiments using NH 4OH at pH 10. A trend indicating a transition from chemical to mechanical control is observed when NH4OH concentration is increased at constant oxygen pressure. A copper removal mechanism in the presence of dissolved oxygen has been developed that highlights a buildup of oxidized copper at the wafer surface. The ability to perform CMP in a pressurized gaseous environment has shown that copper removal is a process of mechanical removal, dissolution of abraded material, and copper-oxygen reactions at the wafer surface. © 2005 The Electrochemical Society. All rights reserved.
- Gray, C., Apone, D., Rogers, C., Manno, V. P., Barns, C., Anjur, S., & Philipossian, A. (2005). Quantitative in-situ measurement of asperity compression during chemical mechanical planarization. Proceedings of the World Tribology Congress III - 2005, 425-426.More infoAbstract: Modifications to the Dual Emission Laser Induced Fluorescence (DELIF) procedure used to collect images of the slurry layer between the polishing pad and wafer during Chemical Mechanical Planarization (CMP) have provided a means to attain instantaneous, high spatial resolution images of slurry film thickness. Presented here is a technique to determine the calibration factor that correlates image intensity to slurry film thickness. This presentation will discuss how to determine slurry layer shape near wafer features, pad roughness, and pad compressibility. Copyright © 2005 by ASME.
- Gray, C., Apone, D., Rogers, C., Manno, V. P., Barns, C., Moinpour, M., Anjur, S., & Philipossian, A. (2005). Instantaneous, high resolution, in-situ imaging of slurry film thickness during CMP. 2005 Proceedings - 10th International Chemical-Mechanical Planarization for ULSI Multilevel Interconnection Conference, CMP-MIC 2005, 97-104.More infoAbstract: Dual Emission Laser Induced Fluorescence (DELIF) is used to attain measurements of slurry film thickness during Chemical Mechanical Polishing (CMP). A Nd/Yag UV laser is used in tandem with two 12 bit CCD cameras with a zoom lens to obtain an instantaneous, high spatial and temporal resolution images in-situ. We are able to image individual pad asperities bending under the wafer during polishing. Once the intensities in the images are correlated to slurry layer thickness values, slurry layer roughness is observed. DELIF shows the slurry layer roughness beneath a flat wafer is 4.5±0.5 urn. This value compares well to profilometer measurements of pad surface roughness, 4.3+0.3 urn. Slurry layer roughness under 27 urn deep etched wells in the wafer features is greater than the roughness outside the wells suggesting asperity expansion under features. Slurry layer roughness under air pockets that have accumulated under the wells in the wafer is less than the slurry filled regions under the wafer suggesting incomplete immersion of asperities under the air pockets.
- Gray, C., Apone, D., Rogers, C., Manno, V. P., Barns, C., Moinpour, M., Anjur, S., & Philipossian, A. (2005). Quantitative in-situ measurement of asperity compression under the wafer during polishing. Materials Research Society Symposium Proceedings, 867, 247-252.More infoAbstract: The interaction of the wafer, slurry and pad determines the material removal rate during Chemical Mechanical Planarization (CMP). Dual emission laser induced fluorescence (DELIF) provides a means to measure the slurry layer thickness between the wafer and a Fruedenbergy FX9 pad during CMP with high spatial (4.3 μm/pixel) and temporal resolution (2 Hz). In this paper we present some preliminary measurements of pad compression using DELIF to measure the standard deviation of asperity height. Static slurry layer images were captured at high (70 kPa) and low (0 kPa) down-force applied to the wafer. In-situ, dynamic images at 10 kPa down-force applied to etched wafers were imaged. Two wafers were etched such that they contain square wells, one wafer with 27 μm and the other will 14.5 μm deep wells. In the static case, asperity compression is directly related the amount of fluid displaced. In the dynamic case, asperity compression is 35% greater under the 27 μm wells than the 14.5 μm wells. © 2005 Materials Research Society.
- Gray, C., Apone, D., Rogers, C., Manno, V. P., Barns, C., Moinpour, M., Anjur, S., & Philipossian, A. (2005). Viewing asperity behavior under the wafer during CMP. Electrochemical and Solid-State Letters, 8(5), G109-G111.More infoAbstract: Recent experimental advances using dual emission laser induced fluorescence and image processing have provided high spatial and temporal resolution maps of the slurry layer during chemical mechanical polishing (CMP). Intensity differences in the images correspond to fluid layer thickness variations as the slurry passes between different pad and wafer topographies. Asperities expand under 14 μm deep wells and are compressed beyond the trailing edge of the wells. Air pockets travel from the leading to the trailing edge of the wafer through 27 μm deep wells. The pads tested were Freudenberg FX9, Rodel IC1000, and experimental pads from Cabot Microelectronics. © 2005 The Electrochemical Society. All rights reserved.
- Kröll, M., Lortz, W., Brandes, R., Piscataway, N. J., & Philipossian, A. (2005). FUMED CERIA for use in ILD and STI CMP. 2005 Proceedings - 10th International Chemical-Mechanical Planarization for ULSI Multilevel Interconnection Conference, CMP-MIC 2005, 366-373.More infoAbstract: Apart from its hydrothermal Ceria Degussa has now developed a novel fumed Ceria which can be used in STI and ILD CMP. By controlling process parameters of the gas-phase synthesis the nature of the material can be adjusted. Parameters, such as precursor concentration, temperature, cooling rate or residence time in the reactor directly influence material properties, e.g. the BET surface area, the particle size and the particle morphology. When used as an abrasive the properties of the particles have significant effects on the removal rate or the coefficient of friction in CMP. Thus, by carefully choosing the most suitable process parameters during the particle genesis a material with a high removal rate or high selectivity in CMP applications can be generated. Degussa has produced different types of Ceria with different BET surface area or different morphology and tested them in silica and silicon nitride polishing. Ideal polishing conditions are determined as a function of the BET surface area and pH value.
- Li, Z., Ina, K., Lefevre, P., Koshiyama, I., & Philipossian, A. (2005). Determining the effects of slurry surfactant, abrasive size, and abrasive content on the tribology and kinetics of copper CMP. Journal of the Electrochemical Society, 152(4), G299-G304.More infoAbstract: The effects of slurry surfactant, abrasive size, abrasive content, wafer pressure, and sliding velocity on factional and kinetics attributes of copper chemical mechanical planarization were studied. While abrasive content did not affect the tribological mechanism of the process, abrasive size was shown to be a significant factor. Surfactant-containing formulations were also shown to dramatically reduce coefficient of friction (COF). At low pressures and velocities, the removal rate was independent of surfactant content, abrasive diameter, and abrasive concentration, while at high pressures and velocities, surfactant-containing slurries caused an increase in removal rale. Slurries containing a larger abrasive increased removal rate. No correlation was observed between the removal rate and COF. Instead the removal rate was shown to loosely correlate with the variance of the factional force, thus suggesting that the rapid formation and extinction of the copper oxide layer as captured by the variance of frictional forces (i.e., stick-slip) was the rate-determining step. © 2005 The Electrochemical Society. All rights reserved.
- Philipossian, A., Zhonglin, L. i., Lee, H., Borucki, L., Kikuma, R., Rikita, N., & Nagasawa, K. (2005). Effect of diamond disc conditioner design and kinematics on process hydrodynamics during copper CMP. 2005 Proceedings - 10th International Chemical-Mechanical Planarization for ULSI Multilevel Interconnection Conference, CMP-MIC 2005, 43-50.More infoAbstract: This study focused on determining the effect of diamond disc design (i.e. the particular arrangement of diamonds on the disc), conditioner kinematics, and conditioner pressure on slurry distribution under the wafer as measured by the apparent slurry film thickness (and hence the apparent distance) between the wafer and the pad during actual polishing. To achieve this goal, the study successfully used the Dual Emission UV Enhanced Fluorescence technique that required the slurry to be tagged with two different fluorescent dyes in order to take advantage of the dyes' fluorescence properties as seen by two CCD cameras through a transparent quartz 'wafer'. Results indicated that: • Slurry film thickness strongly depended on diamond disc design. Type - I design resulted in the thickest fluid film followed by Type - II and Type - III. Close examination of DEUVEF video tapes as well as a separate flow rate study, performed using the Type - I disc, suggested that the thicker fluid film caused by the disc was at least partially due to enhanced slurry flow due to the diamond disc. • The wafer was tilted towards the center of the pad during polishing and that the extent of wafer tilt was a strong function of diamond disc pressure. The tilt was believed to be due to uneven pad asperity rebound in the radial direction of the pad. Higher pressures were believed to cause less rebound and therefore less wafer tilt. • In most cases, increasing the oscillation frequency of the diamond disc decreased slurry film thickness. Simulation of furrows generated by all of the diamonds on the conditioner as a function of various oscillation frequencies showed a greater density of furrows associated with a sweep frequency of 15 per minute thus suggesting taller asperities and therefore thicker slurry films in the pad-wafer interface.
- Rosales-Yeomans, D., Borucki, L., Doi, T., Lujan, L., Ichikawa, K., & Philipossian, A. (2005). Implications of wafer size scale -up on frictional, thermal and kinetic attributes of ILD CMP process. 2005 Proceedings - 22nd International VLSI Multilevel Interconnection Conference, VMIC 2005, 188-193.More infoAbstract: Experiments were performed on a novel 200 mm tribometer in which friction force was acquired in two directions, giving a complete resolution of the force vector in the CMP process. A thermal study of the pad surface was conducted using an infrared video camera to simultaneously measure temperature changes. A Langmuir-Hinshelwood model with a reaction temperature based on a flash heating hypothesis was applied to the experimental data to evaluate the chemical and mechanical contributions during ILD CMP. The results obtained from the 200 mm tribometer were compared to those from a 100 mm tribometer. Results showed that scaling up from 100 to 200 mm caused a transition from a mechanically-limited regime, in which it was still possible to detect thermal effects, to a higher degree of mechanical limitation where it was no longer possible to detect thermal effects.
- Rosales-Yeomans, D., Doi, T., Kinoshita, M., Suzuki, T., & Philipossian, A. (2005). Effect of pad groove designs on the frictional and removal rate characteristics of ILD CMP. Journal of the Electrochemical Society, 152(1), G62-G67.More infoAbstract: Real-time coefficient of friction (COF) analysis was used to determine the extent of normal and shear forces during chemical mechanical planarization (CMP) and identify the lubrication mechanism of the process. Experiments were done on a scaled polisher using IC-1000 pads with various surface textures, and Fujimi's PL-4217 fumed silica slurry over a wide range of applied pressures and relative pad-wafer velocities. Stribeck curves showed that pad texture dictated the overall lubrication mechanism of the system. Average COF results yielded valuable information regarding the overall range of frictional forces associated with each type of surface texture. The linear correlation between COF data and interlayer dielectric (ILD) removal rate was consistent with previously published correlation graphs involving a variety of conventional pad textures and fumed silica concentrations. Spectral analysis of real-time friction data was used to elucidate the lubrication mechanism of the process in terms of the stick-slip phenomena and to quantify the total amount of hydrodynamic chattering as a function of various pad surface textures. For a given lubrication mechanism, analysis of the spectra for various textures indicated significant differences that were attributed to the amount of slurry present in the pad-wafer interface. © 2004 The Electrochemical Society. All rights reserved.
- Sampurno, Y. A., Borucki, L., Zhuang, Y., Boning, D., & Philipossian, A. (2005). A method for direct measurement of substrate temperature during copper CMP. Journal of the Electrochemical Society, 152(7), G537-G541.More infoAbstract: A novel method was developed to directly measure the substrate temperature during copper chemical mechanical planarization (CMP). Using specially designed wafer carriers, substrate temperatures were obtained in real-time with an infrared camera. Results indicate that substrate temperatures are higher than pad temperatures. In addition, the substrate temperature distribution appears to be closely related to slurry flow beneath the substrate during polishing. A three-dimensional thermal model was also developed to simulate the pad and wafer temperatures. Simulations support the interpretation of the experimental data. © 2005 The Electrochemical Society. All rights reserved.
- Sampurno, Y., Boruck, L., & Philipossian, A. (2005). Effect of slurry injection position on slurry mixing, frictional forces, removal rate and process temperature during copper CMP. 2005 Proceedings - 22nd International VLSI Multilevel Interconnection Conference, VMIC 2005, 453-456.More infoAbstract: It was hypothesized that there existed a mixing phenomenon between the new injected slurry and old slurry (i.e., used slurry located on top of the polishing pad), whereby the less reactive slurry would degrade the chemical activity of the slurry with the wafer, thus reducing removal rate. Three slurry injection positions were selected to induce different extents of slurry mixing. Using a novel wafer carrier and an infrared camera, the temperature of the wafer as well as of the surface of the pad were measured in real-time. Tribological mechanism was investigated as a function of injection position to identify any potential changes in the lubrication characteristics. The role of diamond conditioner and the bow wave in slurry mixing were evaluated experimentally. Result showed that slurry injection position played a significant role in slurry mixing characteristics and slurry utilization efficiency. The slurry injection position that induced less slurry mixing significantly increased copper removal rate. This work underscored the importance of optimum slurry injection geometry and flow for obtaining environmentally benign copper CMP processes.
- Sampurno, Y., Borucki, L., & Philipossian, A. (2005). Effect of slurry injection position on slurry mixing, friction, removal rate, and temperature in copper CMP. Journal of the Electrochemical Society, 152(11), G841-G845.More infoAbstract: In this study, the extent of mixing of old and new slurry on the polishing pad is varied by the use of three different points of injection. Influences of the conditioner and bow wave on slurry mixing can be inferred from the experimental results, which include coefficient of friction data and pad and substrate thermal data. Results measured under identical lubrication mechanisms show that the slurry injection position can play a significant role in slurry mixing and slurry utilization efficiency. Slurry injection positions that induce lower slurry mixing are found to increase copper removal rate. Simulations of the bow wave and slurry puddle support the interpretation of the mixing phenomenon. This work underscores the importance of optimum slurry injection geometry and flow for obtaining a more cost effective and environmentally benign copper chemical mechanical polishing (CMP) process. © 2005 The Electrochemical Society. All rights reserved.
- Seike, Y., Denardis, D., Sugiyama, M., Miyachi, K., Doi, T., & Philipossian, A. (2005). Development and analysis of a high-pressure micro jet pad conditioning system for interlayer dielectric chemical mechanical planarization. Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers, 44(3), 1225-1231.More infoAbstract: Conventional diamond disc pad conditioning methods employed in chemical mechanical planarization (CMP) have presented several problems for integrated circuit (IC) manufacturers. These include diamond wear, which reduces pad life, and diamond fracture, which causes the semiconductor devices to be scratched by loose diamond fragments. In order to attempt to overcome these problems, a high-pressure micro jet (HPMJ) conditioning system, in which pressurized ultra pure water (UPW) ranging from 3-30 MPa is sprayed on the pad surface, is proposed and developed. This study first analyzes the extent of the kinetic energy of water droplets ejecting from the HPMJ system and its utility in conditioning the pad surface. Subsequently, CMP is used to polish interlayer dielectric (ILD) films using both conventional diamond discs as well as HPMJ conditioning methods. Results, reported in the form of coefficient of friction (COF), removal rate, pad surface roughness and pad surface quality, highlight both the advantages as well as disadvantages of the HPMJ method compared to conventional conditioning schemes. © 2005 The Japan Society of Applied Physics.
- Sorooshian, J., & Philipossian, A. (2005). Extending the flash heating removal rate model to various interlayer dielectric CMP consumables. Journal of the Electrochemical Society, 152(12), G933-G937.More infoAbstract: This study extends the application of a previously presented flash heating removal rate model to a series of interlayer dielectric (ILD) chemical mechanical planarization (CMP) experiments using Freudenberg XY and perforated groove pads along with Fujimi PL-4217 fumed silica slurry. Polishing tests were conducted at platen temperatures ranging from approximately 24 to 45°C, Application of the model indicates its versatility when using different tool sets, pad types, and slurry chemistries compared to those originally used to develop the model. The flash heating removal rate model was shown to predict experimental data from this study by an average root mean square (rms) error of 164 Å/min. When compared to the traditional Preston model, which predicted the data by an average rms of 300 Å/min, the flash heating model provides better predictive accuracy and enables one to predict previously assumed scatter associated with removal rate in a systematic and comprehensible manner. © 2005 The Electrochemical Society. All rights reserved.
- Sorooshian, J., Borucki, L., Stein, D., Timon, R., Hetherington, D., & Philipossian, A. (2005). Revisiting the removal rate model for oxide CMP. Journal of Tribology, 127(3), 639-651.More infoAbstract: This study seeks to explain removal rate trends and scatter in thermal silicon dioxide and PECVD tetraethoxysilane-sourced silicon dioxide (PE-TEOS) CMP using an augmented version of the Langmuir-Hinshelwood mechanism. The proposed model combines the chemical and mechanical facets of interlevel dielectric (ILD) CMP and hypothesizes that the chemical reaction temperature is determined by transient flash heating. The agreement between the model and data suggests that the main source of apparent scatter in removal rate data plotted as rate versus pressure times velocity is competition between mechanical and thermochemical mechanisms. A method of visualizing removal rate data is described that shows, apart from any particular interpretative theory, that a smooth and easily interpretable surface underlies the apparent scatter. Copyright © 2005 by ASME.
- Sorooshian, J., Philipossian, A., Stein, D. J., Timon, R. P., & Hetherington, D. L. (2005). Dependence of oxide pattern density variation on motor current endpoint detection during shallow trench isolation chemical mechanical planarization. Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers, 44(3), 1219-1224.More infoAbstract: In this study, we evaluate the limitations associated with variable shallow trench isolation (STI) oxide pattern densities for accurate motor current endpoint detection during chemical mechanical planarization (CMP). Results indicate that repeatable motor current endpoint detection can be achieved for STI wafers with oxide pattern density variations of up to 17.4%. Furthermore, results show that a dependence exists between the STI oxide pattern density variation and motor current endpoint success during polishing. According to the findings of this study, a suitable motor current endpoint detection system could yield successful termination points for STI polishing, as well as reduce the need for polishing reworks. © 2005 The Japan Society of Applied Physics.
- Zhonglin, L. i., Lefevre, P., Koshiyama, I., Ina, K., Boning, D., & Philipossian, A. (2005). Comparison of copper disc and copper wafer polishing processes in terms of their kinetic, tribological, and thermal characteristics. IEEE Transactions on Semiconductor Manufacturing, 18(4), 681-686.More infoAbstract: Coefficients of friction, removal rate, and pad temperature analysis were used to compare chemical-mechanical polishing processes involving two substrates, copper discs and copper-deposited wafers with different grain sizes and degrees of flatness (i.e., concave or convex). The average coefficient of friction and the total mechanical energy of the processes (as measured by the spectral attributes of the raw frictional force) were higher for the copper-deposited wafers. This result is believed to be due to differences in the extent of convexity of the two types of substrates and the shapes of their bevels. Pad temperature transients as well as material removal rates were also slightly higher for the copper wafers, suggesting a thermally dependent removal mechanism. Grain sizes associated with the copper-deposited wafers used in this paper were larger compared to those of the copper discs. Grains are thought to have complex interactions with the chemical as well as mechanical attributes of the process, based on the fact that smaller grains led to greater chemical reaction rates, while they needed more mechanical force to abrade away. Based on these results, careful attention to copper grain size as well as deposited film and wafer geometry is recommended in experimental studies of copper polishing. © 2005 IEEE.
- Zhuang, Y., King, D., Kido, T., & Philipossian, A. (2005). Frictional and removal rate studies of silicon dioxide and silicon nitride CMP using novel cerium dioxide abrasive slurries. Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers, 44(1 A), 30-33.More infoAbstract: Novel slurries containing cerium dioxide particles as the abrasives were used for silicon dioxide and silicon nitride CMP in this study. Real-time frictional force was measured during polishing. Slurries with varying ceria abrasive concentrations achieved different friction forces during the silicon dioxide and silicon nitride polishing. The effects of the ceria abrasive concentration on the silicon dioxide and silicon nitride removal rates were also investigated. The silicon dioxide removal rates exhibited non-Prestonian behavior, which was attributed to the additives used in the slurries. Being specially formulated for shallow trench isolation (STI) applications, these novel slurries achieved high oxide-to-nitride removal rate selectivities. ©2005 The Japan Society of Applied Physics.
- Zhuang, Y., Zhonglin, L. i., Shimazu, Y., Uotani, N., Borucki, L., & Philipossian, A. (2005). Experimental and numerical analysis of an inhibitor-containing slurry for copper chemical mechanical planarization. Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers, 44(1 A), 82-86.More infoAbstract: A slurry containing Benzotriazole (BTA) as the inhibitor was analyzed in terms of its frictional, thermal and kinetic attributes for copper CMP applications. The frictional analysis indicated that 'boundary lubrication' was the dominant tribological mechanism. Due to the presence of the inhibitor in the slurry, copper removal rate exhibited a highly non-Prestonian behavior. Based on the measured coefficient of friction (COF) and pad temperature data, a proven thermal model was used to predict wafer temperature. The Preston Equation was used to describe the polishing rate when p × V was lower than 11,000 Pa·m/s; while a modified Langmuir-Hinshelwood kinetic model was used to simulate the copper removal when p × V was higher than 11,555 Pa·m/s. Assuming that the adsorbed inhibitor layer was abraded off instantly from the copper surface when p × V was higher than 11,555 Pa·m/s, the modified Langmuir-Hinshelwood kinetic model indicated that copper polishing was chemically limited in this polishing region. © 2005 The Japan Society of Applied Physics.
- Boning, D. S., Bartha, J. W., Philipossian, A., Shinn, G., & Vos, I. (2004). Materials Research Society Symposium Proceedings: Preface. Materials Research Society Symposium Proceedings, 816, xi.
- Borucki, L., Charns, L., & Philipossian, A. (2004). Analysis of frictional heating of grooved and flat CMP polishing pads. Journal of the Electrochemical Society, 151(12), G809-G813.More infoAbstract: A detailed model is described for the generation, transport, and exchange of thermal energy in rotary chemical mechanical polishing (CMP) tools. Factional energy generated due to abrasion of the wafer by the pad and slurry particles is partitioned between the pad and the rotating wafer, with the majority going to the latter, the slurry at the same time provides a major cooling mechanism, drawing heat away while it is entrained under the wafer and then redistributing it over the pad by radial convection. The slurry flow component of the theory includes thermal effects due the presence of concentric grooves. The model accounts for the time and radial dependence of pad temprrature measurements performed on flat and concentrically grooved pads at the leading and trailing edges of the wafer, at the pad center and pad margins, and on the wafer carrier. It suggests that the temperature increase on the wafer may be approximately twice the increase measured on the pad. © 2004 The Electrochemical Society. All rights reserved.
- Borucki, L., Lee, H., Zhuang, Y., & Philipossian, A. (2004). Theoretical and experimental investigation of ILD removal rates, coefficient of friction, and pad flattening ratio. AIChE Annual Meeting, Conference Proceedings, 1351-1358.More infoAbstract: Interlayer dielectric (ILD) polish rate stability and rate decay in experiments that involve ex-situ conditioning with a diamond disc, pad cleaning without conditioning using a high-pressure microjet and KOH, and in the absence of pad treatment were studied. The pad flattening ratio (PFR), the coefficient of friction (COF) between the polished wafers and the pad, and the material removal rate (RR) were measured during the experiments. A previously developed Langmuir-Hinshelwood model was extended for silicon dioxide removal and combine it with the abrasive wear and conditioning model using insights from the experiments. The integrated model was then shown to be in quantitative agreement with measurements under all three conditions.
- Borucki, L., Lee, H., Zhuang, Y., & Philipossian, A. (2004). Theoretical and experimental investigation of ILD removal rates, coefficient of friction, and pad flattening ratio. AIChE Annual Meeting, Conference Proceedings, 9741-9748.More infoAbstract: The interlayer dielectric (ILD) removal rates, coefficients of friction, and pad flattening ratio of silicon dioxide films were analyzed. Pad and wafer were rotated in the same direction at the same rate at a relative sliding speed of 0.62 m/sec, the pressure applied to the wafer was 20.7 kPa, and polishing timers were 2 min. When no conditioning was applied, the removal rate declined continuously to a very low level in the classical manner of polish rate decay. It was found that the secondary peak grew more quickly if irregular fumed slurry particles were used rather than spherical colloidal particles under otherwise identical loading conditions.
- Borucki, L., Li, Z., & Philipossian, A. (2004). Experimental and theoretical investigation of heating and convection in copper polishing. Journal of the Electrochemical Society, 151(9), G559-G563.More infoAbstract: Pad thermal data taken with an infrared video camera during chemical mechanical polishing of copper at different slurry flow rates is analyzed using a model developed to explain pad heating during oxide polishing. After minimal recalibration to match thermal data as a function of time and pad radius at one flow rate, the model is able to correctly predict the variation in temperature as the flow rate is changed. Periodic thermal oscillations in the data that are not present in oxide polish data are also modeled and are attributable to in situ conditioning. Theoretical estimates of the wafer temperature suggest that the temperature rise of the wafer above ambient may be significantly higher than what is measured on the pad near the leading edge of the wafer. © 2004 The Electrochemical Society. All rights reserved.
- Doi, T., Philipossian, A., & Ichikawa, K. (2004). Design and performance of a controlled atmosphere polisher for silicon crystal polishing. Electrochemical and Solid-State Letters, 7(8), G158-G160.More infoAbstract: A controlled atmosphere polisher (CAP) was manufactured featuring a pressure-resistant chamber that hermetically contains the entire processing unit. The machine allows chamber gases to be changed. A vacuum pump or a compressor is used to maintain chamber pressure at a desired set point. When polishing under an air ambient, polish rates under partial vacuum or under pressurized conditions are significantly higher than those under conventional polishing conditions. Differences in polish rate are also seen depending on the type of gas used during polishing. This polishing method and tool have the potential of efficiently controlling and enhancing silicon polish rates. © 2004 The Electrochemical Society. All rights reserved.
- Doy, T. K., Seshimo, K., Suzuki, K., Philipossian, A., & Kinoshita, M. (2004). Impact of Novel Pad Groove Designs on Removal Rate and Uniformity of Dielectric and Copper CMP. Journal of the Electrochemical Society, 151(3), G196-G199.More infoAbstract: The effect of pad surface texture on dielectric and copper removal rates was studied theoretically and experimentally by investigating the fluid behavior of the slurry layer using methodologies similar to those found in tribological investigations of fluid bearings. It is shown that new nonfoamed hard plastic pads with intricate groove patterns are critical in modulating removal rates. Removal rate results of dielectric chemical mechanical polishing were in close agreement with model predictions for several of the groove designs tested. Combined patterns, consisting of spiral and logarithmic grooves, were shown to impact several key attributes of the dielectric and copper processes in terms of slurry retention, hydrodynamic pressure, tribological mechanism, and material removal rates. © 2004 The Electrochemical Society. All rights reserved.
- Lee, H., Sugiyama, M., Philipossian, A., Seike, Y., Takaoka, M., & Miyachi, K. (2004). Evaluation of high pressure micro jet technology as an alternative pad conditioning method for silicon dioxide chemical mechanical planarization. AIChE Annual Meeting, Conference Proceedings, 1359-1364.More infoAbstract: In CMP, currently diamond conditioning is widely used to condition the polishing pad to maintain the pad asperity during planarization. However, diamond disc conditioning can create non-uniform thinning of the pad, leading to non-uniform contact pressures and polishing rates across the wafer. In addition, embedded diamond can be abraded away from the disc during conditioning, causing catastrophic defects, such as scratches on the wafer. In this study, a high pressure micro jet (HPMJ) technology is used as a novel pad conditioning method for silicon dioxide CMP applications. During the conditioning process, HPMJ sprays KOH solution at the pressure of 10 MPa onto the polishing pads to clean the residual slurry off the pad surface, remove embedded slurry particles and re-establish acceptable pad asperity heights. Coefficient of friction (COF), removal rate and pad flattening ratio (PFR) are used to evaluate the efficacy of the HPMJ system. Results are compared among conventional diamond conditioning, HPMJ conditioning, combination of HPMJ with diamond disc conditioning and no conditioning. It is bund that silicon dioxide removal rate, COF and PFR are closely correlated to one another. Results suggest that HMPJ conditioning has great potentials to become an alternative pad conditioning method in mainstream CMP processes.
- Lee, H., Sugiyama, M., Philipossian, A., Seike, Y., Takaoka, M., & Miyachi, K. (2004). Evaluation of high pressure micro jet technology as an alternative pad conditioning method for silicon dioxide chemical mechanical planarization. AIChE Annual Meeting, Conference Proceedings, 9749-.More infoAbstract: In CMP, currently diamond conditioning is widely used to condition the polishing pad to maintain the pad asperity during planarization. However, diamond disc conditioning can create non-uniform thinning of the pad, leading to non-uniform contact pressures and polishing rates across the wafer. In addition, embedded diamond can be abraded away from the disc during conditioning, causing catastrophic defects, such as scratches on the wafer. In this study, a high pressure micro jet (HPMJ) technology is used as a novel pad conditioning method for silicon dioxide CMP applications. During the conditioning process, HPMJ sprays KOH solution at the pressure of 10 MPa onto the polishing pads to clean the residual slurry off the pad surface, remove embedded slurry particles and re-establish acceptable pad asperity heights. Coefficient of friction (COF), removal rate and pad flattening ratio (PFR) are used to evaluate the efficacy of the HPMJ system. Results are compared among conventional diamond conditioning, HPMJ conditioning, combination of HPMJ with diamond disc conditioning and no conditioning. It is bund that silicon dioxide removal rate, COF and PFR are closely correlated to one another. Results suggest that HMPJ conditioning has great potentials to become an alternative pad conditioning method in mainstream CMP processes.
- Li, Z., Borucki, L., Koshiyama, I., & Philipossian, A. (2004). Effect of slurry flow rate on tribological, thermal, and removal rate attributes of copper CMP. Journal of the Electrochemical Society, 151(7), G482-G487.More infoAbstract: Chemical mechanical polishing of copper is examined experimentally and theoretically as a function of slurry flow rate and the product of applied wafer pressure and relative sliding speed (p × V). It is observed that under constant tribological conditions, the removal rate at any fixed value of p × V generally decreases as slurry flow rate increases. The increased cooling of the wafer surface, as a result of increased slurry flow rate, is used to explain this reduction in the reaction rate. At a fixed flow rate, it is further observed that removal rate does not necessarily increase monotonically with p × V. The rate instead depends on the particular values of pressure and velocity, regardless of the fact that they may result in the same value of p × V. This dependence is shown to be caused by changes in the coefficient for convective heat-transfer between the wafer and the slurry, as well as the heat partition factor, which determines the fraction of the total frictional power that heats the wafer. Results further indicate that trends in copper removal rate can be adequately explained with a Langmuir-Hinshelwood kinetics model with both mechanical and chemical rate components. © 2004 The Electrochemical Society. All rights reserved.
- Lu, J., Rogers, C., Manno, V. P., Philipossian, A., Anjur, S., & Moinpour, M. (2004). Measurements of Slurry Film Thickness and Wafer Drag during CMP. Journal of the Electrochemical Society, 151(4), G241-G247.More infoAbstract: Chemical mechanical planarization (CMP) is a process widely used for the manufacture of silicon integrated circuits. In this work, we measured the thickness of the slurry film between the wafer and the pad during polish while simultaneously measuring the frictional drag. All experiments are performed on a 1:2 scale laboratory tabletop rotary polisher with variable pad speed and wafer downforce control. Dual emission laser-induced fluorescence techniques optically measured the slurry film thickness through a dual-camera imaging system. The resulting data are discussed for wafers polished with a 3.1 wt % abrasive concentration slurry solution on Freudenberg's FX-9 polishing pads. It was found that the degree of surface curvature of the wafer substrate significantly influences the slurry film thickness and wafer drag, and therefore, the polish. The convex wafer shows the expected behavior of increased downforce reduces the slurry film thickness and increases the coefficient of friction. Further, as the pad speeds up, the slurry thickness increases and the friction decreases. The concave wafer shows no change in slurry film thickness and a decrease in the frictional coefficient with increasing downforce. Both the film thickness and frictional coefficient appear to decrease slightly with increasing pad speed. This difference between the two wafer shapes reflects the different fluid mechanics in each case. © 2004 The Electrochemical Society.
- Philipossian, A., & Mitchell, E. (2004). Mean residence time and removal rate studies in ILD CMP. Journal of the Electrochemical Society, 151(6), G402-G407.More infoAbstract: Mean residence time (MRT) in the wafer-pad region was shown to be highly dependent on slurry flow rate, wafer pressure, and relative pad-wafer velocity. MRT was also shown to be a linear function of coefficient of friction. The latter was envisioned to be an indication of the tortuosity of the path bounded in the wafer-pad interface. The extent of process transients during chemical mechanical polishing (CMP) was quantified, and it was shown that the average time it took for fresh incoming fluid (i.e., slurry, water, or other active agents) to displace the existing fluid in the pad-wafer region yielded important information regarding fluid concentration near the wafer as well as the kinetics of the process. A new parameter, the turnover ratio, which is defined as the ratio of the MRT to the polish time, was developed to quantify the extent of abrasive concentration transients during a typical polish. This parameter was found to significantly impact the interlayer dielectric (ELD) removal rate and was deemed critical for process optimization considerations. © 2004 The Electrochemical Society. All rights reserved.
- Philipossian, A., & Mustapha, L. (2004). Effect of mechanical properties of PVA brush rollers on frictional forces during post-CMP scrubbing. Journal of the Electrochemical Society, 151(9), G632-G637.More infoAbstract: Tribological attributes of post-chemical mechanical planarization (CMP) brush scrubbing process were investigated as a function of type and velocity of the brush roller, applied pressure, and the pH of the cleaning solution, Coefficient of friction (COF) results were significantly different for the two types of brush rollers over a wide range of operating conditions. The two types of rollers were subjected to a battery of dynamic mechanical analyses and shown to be identical to one another in terms of flexural storage modulus and tan δ. By quantifying the extent of deformation of the entire brush roller during actual scrubbing using real-time pressure sensing technology, it became apparent that for a given applied load on the brush, the less compliant brush roller (by about 10%) resulted in proportionately higher COF, thus underscoring the importance of brush design and method of mechanical testing of the roller for imparting desired amounts of shear force on the surface of the wafer during scrubbing. © 2004 The Electrochemical Society.
- Philipossian, A., & Mustapha, L. (2004). Tribological attributes of post-CMP brush scrubbing. Journal of the Electrochemical Society, 151(7), G456-G460.More infoAbstract: Tribological attributes of post-chemical mechanical planarization (CMP) brush scrubbing are investigated as a function of tool kinematics, applied pressure, pH, and flow rate of the cleaning solution. Coefficient of friction (COF) results show that at high pressures, for low and neutral pH, the lubrication mechanism is that of "partial lubrication," while "hydrodynamic lubrication" is observed at low pressures. The existence of hydrodynamic lubrication corresponding to lower of COF values continues at high values of pH irrespective of brush pressure. Furthermore, flow rate does not impact the overall tribology of the system. The effect of solution pH on COF is explained by considering the effect of pH on the solubility and gellation characteristics of silica in the silica-water system. At pH values below 2.0, silicic acid monomers are believed to collide and aggregate into chains and three-dimensional networks representative of gelling, thus resulting in high COF values. The lower COF at pH 7.0 is believed to be due to the presence of network-terminated silanol groups and the absence of gels. At pH values above 10.5, surface silanol groups completely dissociate, causing the hydrated silica surface to dissolve as soluble silicates, thus lowering the frictional forces. © 2004 The Electrochemical Society. All rights reserved.
- Philipossian, A., & Olsen, S. (2004). Effect of slurry flow rate on pad life during interlayer dielectric CMP. Journal of the Electrochemical Society, 151(6), G436-G439.More infoAbstract: Real-time coefficient of friction (COF) analysis is used to identify the tribology of the process and to determine the useful life of the polishing pad as a function of key processing parameters. Results indicate that minor COF changes affect interlayer dielectric (ILD) removal rate and pad life. Slurry flow rate is shown to modulate average COF without altering the tribological mechanism associated with a particular range of Sommerfeld numbers. This finding is used to isolate and study the effect of COF on pad life. Regardless of process tribology, results indicate that pad life is increased with increasing slurry flow rate. Due to the effect of COF on removal rate, the absolute magnitude of ILD removal rate is shown to be highly dependent on the tribological mechanism. The work illustrates the complexities involved in reaching a compromise between removal rate, slurry flow rate, high volume manufacturing, and pad life. © 2004 The Electrochemical Society. All rights reserved.
- Sorooshian, J., Borucki, L., Timon, R., Stein, D., Boning, D., Hetherington, D., & Philipossian, A. (2004). Estimating the effective pressure on patterned wafers during STI CMP. Electrochemical and Solid-State Letters, 7(10), G204-G206.More infoAbstract: Removal rate results obtained from a 150 mm Speedfam-IPEC 472 polisher, coupled with a proven removal rate model has allowed for the determination of effective pressure (i.e., the actual pressure exerted on the structures of a patterned wafer) during chemical mechanical penalization (CMP) of high-density plasma-filled shallow trench isolation (STI) wafers. Results showed that the ratio of derived effective pressure to applied wafer pressure was 2.2, 1.7, and 1.3 for 10, 50, and 90% density wafers, respectively. The relative consistency of these ratios indicates that the effective pressure experienced during polishing is not impacted by pattern density in a proportionate manner. © 2004 The Electrochemical Society. All rights reserved.
- Sorooshian, J., Hetherington, D., & Philipossian, A. (2004). Effect of process temperature on coefficient of friction during CMP. Electrochemical and Solid-State Letters, 7(10), G222-G224.More infoAbstract: This study investigates the effect of heat generation and thermal inputs on the frictional characteristics of interlayer dielectric (ILD) and copper chemical mechanical planarization (CMP) processes. A series of ILD and copper polishes were completed with controlled pad temperatures of ∼ 12, 22, 33, and 45°C and various pressures and velocities. Coefficient of friction results indicated an increasing trend for ILD and copper polishing with a rise in polishing temperature. Dynamic mechanical analysis of the used polishing pads revealed links between the softening effects of the pad with rising temperatures and increased shear forces resulting from the contact of the pad and wafer during polishing. The results presented are critical for establishing pad designs with stable dynamic mechanical properties and prolonged pad life. © 2004 The Electrochemical Society. All rights reserved.
- Zhuang, Y., Li, Z., Sorooshian, J., Philipossian, A., & Borucki, L. (2004). Tribological, thermal and kinetic attributes of copper and silicon dioxide CMP processes. AIChE Annual Meeting, Conference Proceedings, 1343-1350.More infoAbstract: Experimental and numerical analyses of the tribological, thermal and kinetic attributes of silicon dioxide and copper CMP processes are presented in this study. Real-time friction forces are measured to determine the lubrication mechanism during copper polishing. Pad temperatures are simultaneously measured by an infrared camera and are shown to correlate with the copper removal rates. Different platen temperatures are applied during silicon dioxide polishing, ranging from 10 to 45°C. Silicon dioxide removal rates are found to increase with the platen temperature and exhibit highly non-Prestonian behavior. Lim-Ashby plots, which show the separate effects of polishing pressure and wafer-pad sliding velocity, are used to describe the non-Prestonian copper and silicon dioxide removal rates. Assuming the chemical reaction temperature is determined by transient flash heating, a two-step modified LangmuirHinshelwood model is found to describe the copper and silicon dioxide removal rates well for the slurries used in this study. Extracted chemical and mechanical rate constants indicate that silicon dioxide removal can span a range of regimes from mechanically-limited to nearly equal balance between mechanical and chemical mechanisms; while for copper CMP, extracted mechanical rate constants exceed the chemical rate constants, suggesting that copper polishing is more chemically controlled.
- Zhuang, Y., Li, Z., Sorooshian, J., Philipossian, A., & Borucki, L. (2004). Tribological, thermal and kinetic attributes of copper and silicon dioxide CMP processes. AIChE Annual Meeting, Conference Proceedings, 9733-9740.More infoAbstract: Experimental and numerical analyses of the tribological, thermal and kinetic attributes of silicon dioxide and copper CMP processes are presented in this study. Real-time friction forces are measured to determine the lubrication mechanism during copper polishing. Pad temperatures are simultaneously measured by an infrared camera and are shown to correlate with the copper removal rates. Different platen temperatures are applied during silicon dioxide polishing, ranging from 10 to 45°C. Silicon dioxide removal rates are found to increase with the platen temperature and exhibit highly non-Prestonian behavior. Lim-Ashby plots, which show the separate effects of polishing pressure and wafer-pad sliding velocity, are used to describe the non-Prestonian copper and silicon dioxide removal rates. Assuming the chemical reaction temperature is determined by transient flash heating, a two-step modified Langmuir-Hinshelwood model is found to describe the copper and silicon dioxide removal rates well for the slurries used in this study. Extracted chemical and mechanical rate constants indicate that silicon dioxide removal can span a range of regimes from mechanically-limited to nearly equal balance between mechanical and chemical mechanisms; while for copper CMP, extracted mechanical rate constants exceed the chemical rate constants, suggesting that copper polishing is more chemically controlled.
- Borucki, L., Charns, L., & Philipossian, A. (2003). Analysis of frictional heating of grooved and flat CMP polishing pads. Proceedings - Electrochemical Society, 21, 174-182.More infoAbstract: A detailed model is described for the generation, transport and exchange of thermal energy in rotary CMP tools. Frictional energy generated due to abrasion of the wafer by the pad and slurry particles is partitioned between the pad and the rotating wafer, with the majority going to the latter. The slurry at the same time provides a major cooling mechanism, drawing heat away while it is entrained under the wafer and then redistributing it over the pad by radial convection. The slurry flow component of the theory includes thermal effects due to the presence of concentric grooves. The model accounts for the time and radial dependence of pad temperature measurements performed on flat and grooved pads at the leading and trailing edges of the wafer, at the pad center and pad margins, and on the wafer carrier. It suggests that the temperature increase on the wafer may be approximately twice the increase measured on the pad.
- Borucki, L., Li, Z., & Philipossian, A. (2003). Heating and convection in copper polishing. Proceedings - Electrochemical Society, 21, 61-67.More infoAbstract: Pad thermal data taken with an infrared video camera during chemical mechanical polishing of copper at two different slurry flow rates is analyzed using a model developed to explain pad heating during oxide polishing. After minimal recalibration to match thermal data as a function of time and pad radius at one flow rate, the model is able to correctly predict the variation in temperature as the flow rate is changed. Periodic thermal oscillations in the data that are not present in oxide polish data are also modeled and are attributable to in situ conditioning. Theoretical estimates of the wafer temperature suggest that the temperature rise of the wafer above ambient may be two to three times what is measured on the pad near the leading edge of the wafer. Removal rates calculated based on the wafer temperature are in agreement with measured rates.
- Cornely, J., Rogers, C., Manno, V., & Philipossian, A. (2003). In Situ Temperature Measurement during Oxide Chemical Mechanical Planarization. Materials Research Society Symposium - Proceedings, 767, 33-46.More infoAbstract: The in situ temperature measurement during oxide chemical mechanical planarization is discussed. Experiments were conducted on a 1:2 scale laboratory tabletop rotary polisher with variable pad speed and wafer down force control. A proportional increase in all temperature data was observed when the applied wafer pressure was increased.
- DeNardis, D., Sorooshian, J., Habiro, M., Rogers, C., & Philipossian, A. (2003). Tribology and Removal Rate Characteristics of Abrasive-Free Slurries for Copper CMP Applications. Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers, 42(11), 6809-6814.More infoAbstract: This study employs real-time high-frequency frictional force analysis coupled with removal rate studies to quantify the extent of frictional forces encountered during copper polish using abrasive-free slurries and to establish the time-dependent tribological attributes of the process. The study also uses spectral analysis of the frictional force data to validate and explore the subtle characteristics of the formation and extinction of the copper complex layer known to play an integral role in abrasive-free copper chemical mechanical planarization (CMP). It was found that copper removal rates are at least partially driven by coefficient of friction, which is similar to the case of interlayer dielectric (ILD) CMP. Spectral analysis suggests that the periodicity of the copper complex layer formation and abrasion is approximately 10ms.
- Li, Z., Borucki, L., & Philipossian, A. (2003). Determining the effect of slurry flow rate on the tribological, thermal and removal rate attributes of copper CMP. Proceedings - Electrochemical Society, 21, 104-114.More infoAbstract: Chemical mechanical polishing of copper using is examined experimentally and theoretically as a function of slurry flow rate and the product of the applied wafer pressure and relative sliding speed (pV), It is observed that under constant tribological conditions, the removal rate at any fixed value of pV generally decreases as the slurry flow rate increases. This is explained as a reduction in reaction rate due to increased cooling of the wafer surface by the slurry. At a fixed flow rate, it is further observed that the removal rate does not necessarily increase monotonically with pV. The rate may instead depend on the particular pressure and velocity chosen at a fixed value of their product, The dependence occurs through the coefficient for convective heat transfer between the wafer and the slurry, and the heat partition factor, which determines the fraction of the total factional power that heats the wafer. Rates are found to be explainable with a Lagmuir-Hinschelwood model with mechanical and chemical components.
- Li, Z., Ina, K., Lefevre, P., & Philipossian, A. (2003). Determining the effects of slurry surfactant, abrasive size and abrasive content on the tribology and kientics of copper CMP. Proceedings - Electrochemical Society, 21, 92-103.More infoAbstract: Eight hydrogen peroxide based slurries containing colloidal silica abrasives of varying diameters and contents were used to investigate the effects of slurry surfactant, abrasive size and abrasive content on the characteristics of copper CMP. One-half of formulations also contained equal amounts of surfactant. Abrasive content had no effect on the lubrication mechanism. The lubricating nature of the fatty components of surfactants reduced COF. This reduction was especially pronounced with smaller size abrasives due to an increased contact area, Complex interactive effects were observed among various parameters such as the product of applied pressure and sliding velocity, abrasive size and the presence of the surfactant. No correlation was observed between COF and removal rate, which was contrary to previously reported results regarding Interlayer Dielectric (ILD) CMP. Spectral analysis of the raw frictional data showed good correlation between removal rate and the total amount of mechanical energy of the process caused by stick-slip phenomena. Moreover, strong evidence of an Arrhenius-type relationship between removal rate and temperature was observed, indicating that copper CMP was strongly influenced by temperature.
- Li, Z., Rader, S., Lefevre, P., Ina, K., Boning, D., & Philipossian, A. (2003). Comparison of copper disc and copper wafer polishing processes in terms of their kinetic, tribological and thermal characteristics. Proceedings - Electrochemical Society, 21, 35-43.More infoAbstract: Real-time coefficient of friction, removal rate, pad temperature transient and Interfacial Interaction Index were employed to identify and compare the Chemical Mechanical Polishing processes involving copper deposited wafers and copper metal discs. Coefficient of friction and pad temperature transients were slightly higher for the copper deposited wafers, This difference was explained by taking into account the storage modulus of the pad, as well as the significant differences in the bevel shape of discs vs. wafers. Results were consistent with the differences in the Interfacial Interaction Index. Removal rate results were also slightly higher for copper wafers suggesting consistent with a thermally dependent copper removal mechanism. In spite of the minor differences among the two substrates, copper discs are considered to be viable and more economically feasible replacements for copper deposited wafers given the fact that this study indicated that the choice of the copper substrate did not affect the key conclusion regarding the tribological, thermal and removal rate characteristics of the process.
- Philipossian, A., & Mitchell, E. (2003). Dispersion Number Studies in Chemical Mechanical Planarization. Materials Research Society Symposium - Proceedings, 767, 193-197.More infoAbstract: This study explores aspects of the fluid dynamics of CMP processes. The residence time distribution of slurry under the wafer is experimentally determined and used to calculate the Dispersion Number (Δ) of the fluid in the wafer-pad region based on a dispersion model for non-ideal reactors. Furthermore, lubrication theory is used to explain flow behaviors at various operating conditions. Results indicate that at low wafer pressure and high relative pad-wafer velocity, the slurry exhibits nearly ideal plug flow behavior. As pressure increases and velocity decreases, flow begins to deviate from ideality and the slurry becomes increasingly more mixed beneath the wafer. These phenomena are confirmed to be the result of variable slurry film thicknesses between the pad and the wafer, as measured by changes in the coefficient of friction (COF) in the pad-wafer interface.
- Philipossian, A., & Mitchell, E. (2003). Dispersion number studies in CMP of interlayer dielectric films. Journal of the Electrochemical Society, 150(12), G854-G860.More infoAbstract: Determining the factors that cause flow nonidealities during chemical mechanical planarization (CMP) is critical for controlling and optimizing the process. This study explores aspects of the fluid dynamics of CMP on interlayer dielectric films. The residence time distribution of slurry under the wafer was experimentally determined and used to calculate the dispersion number of the fluid in the wafer-pad region based on a dispersion model for nonideal reactors. Furthermore, lubrication theory was employed to explain trends in flow behavior as operating conditions were varied. The results indicate that at low wafer pressure and high relative pad-wafer velocity, the slurry flow exhibits nearly ideal plug flow behavior. As pressure increases and velocity decreases, flow begins to deviate from ideal behavior and the slurry becomes increasingly more mixed beneath the wafer. These phenomena were found to be the result of variable slurry film thickness between the pad and the wafer, as measured by changes in the coefficient of friction between the pad and the wafer.
- Philipossian, A., & Mitchell, E. (2003). Slurry Utilization Efficiency Studies in Chemical Mechanical Planarization. Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers, 42(12), 7259-7264.More infoAbstract: The residence time distribution of slurry in the pad-wafer interface was experimentally determined and used to calculate the slurry utilization efficiency (η) of the chemical mechanical planarization (CMP) process. Slurry utilization efficiency represents the percentage of slurry that actually participates in the polish by entering the region bounded between the wafer and the pad. Results show that η ranges from 2 to 22%, depending on operating conditions such as applied wafer pressure, relative pad wafer velocity, slurry flow rate and pad surface texture (i.e. type of pad grooving).
- Philipossian, A., & Mitchell, E. (2003). Slurry Utilization Efficiency Studies in Chemical Mechanical Planarization. Materials Research Society Symposium - Proceedings, 767, 21-26.More infoAbstract: The residence time distribution of slurry in the pad-wafer interface was experimentally determined and used to calculate the slurry utilization efficiency (ν) of the CMP process. Slurry utilization efficiency represents the percentage of slurry that actually participates in the polish by entering the region bounded between the wafer and the pad. Results show that ν ranges from 2 to 22 percent, depending on operating conditions such as applied wafer pressure, relative pad wafer velocity, slurry flow rate and pad surface texture (i.e. type of pad grooving).
- Philipossian, A., & Mustapha, L. (2003). Effect of Tool Kinematics, Brush Pressure and Cleaning Fluid pH on Coefficient of Friction and Tribology of post-CMP PVA Brush Scrubbing Processes. Materials Research Society Symposium - Proceedings, 767, 209-215.More infoAbstract: Real-time coefficient of friction (COF) analysis is used to determine the extent of normal and shear forces during post-CMP PVA brush scrubbing and identify the tribology of the process. Fluid pH and applied pressure have pronounced effects on process tribology and the magnitude of COF. In cases where brush rotation is the only kinematic attribute of the system, low pH results in 'mixed lubrication' where increasing brush velocity causes a dramatic reduction in COF in accordance with classical tribological arguments. At lower pressures the tribological mechanism shifts to 'hydrodynamic lubrication' with significantly lower values of COF. Regardless of pressure, increasing the pH to 7 and 10.7 causes the tribology to remain in 'hydrodynamic lubrication' and results in even lower values of COF. Trends are explained qualitatively by considering the effect of pH on the solubility and gellation characteristics of silica in the silica-water system. Stribeck curves fail to shed light on the tribology of the system when complex ranges of tool kinematics (i.e. wafer rotation and brush oscillation superimposed on brush rotation) are employed. The results are counter-intuitive since they indicate nearly an order of magnitude increase in COF with increasing brush velocity. Nevertheless, results demonstrate the utility of having complex ranges of motion in PVA brush scrubbing such that very low or very high values of COF can be realized by simply increasing the rotational velocity of the brush while maintaining a constant wafer rotation and brush oscillation.
- Philipossian, A., & Mustapha, L. (2003). Tribological characterization of post-CMP brush scrubbing. Diffusion and Defect Data Pt.B: Solid State Phenomena, 92, 275-280.More infoAbstract: A novel PVA brush scrubber is constructed for studying the tribology of post-CMP cleaning processes using real-time coefficient of friction analysis. Results indicate that the tribological mechanism and the magnitude of the coefficient of friction strongly depend on a number of key factors such as tool kinematics, brush design, brush pressure and the pH of the cleaning fluid. Trends are qualitatively explained by considering brush deformation, liquid-wafer chemical interactions, tool kinematics, fluid dynamics, and frequency analysis of the friction signal.
- Philipossian, A., & Olsen, S. (2003). Effect of Pad Surface Texture and Slurry Abrasive Concentration on Tribological and Kinetic Attributes of ILD CMP. Materials Research Society Symposium - Proceedings, 767, 119-125.More infoAbstract: Real-time coefficient of friction (COF) analysis is used to determine the extent of normal and shear forces during CMP and identify the lubrication regimes associated with the process. Pads with different surface textures and slurries with varying abrasive concentrations are used to polish ILD films over a wide range of operating parameters. Results show that by varying abrasive concentration and pad surface texture, one can cause the process tribology to change from 'boundary lubrication' to 'partial lubrication', to 'hydrodynamic lubrication'. A two-phase model relating average coefficient of friction and Preston's constant is presented. At abrasive concentrations up to 9 percent, material removal is proportional to the extent of contact between the abrasives and the wafer. At abrasive concentrations between 9 to 25 percent, removal rate is directly influenced by average COF. A new parameter termed the 'tribological mechanism indicator' is defined and extracted from the data, which coupled with the information on COF and ILD removal rate, results in a series of 'universal' correlations. A qualitative model based on pad storage modulus is used to explain the trends.
- Philipossian, A., & Olsen, S. (2003). Fundamental Tribological and Removal Rate Studies of Inter-Layer Dielectric Chemical Mechanical Planarization. Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers, 42(10), 6371-6379.More infoAbstract: In this work, real-time coefficient of friction (COF) analysis, in conjunction with a new method for approximating the Sommerfeld Number, is used to determine the extent of normal and shear forces during chemical mechanical planarization (CMP) and to help identify the tribology of the system. A new parameter termed the 'tribological mechanism indicator' is defined and extracted from the resulting Stribeck curves. The information on COF, 'tribological mechanism indicator' and inter-layer dielectric (ILD) removal rate results in a series of 'universal' correlations to help identify polishing conditions for optimized pad life and removal rate. Results further show that abrasive concentration, surface texture and pad grooving dramatically shift the tribology of the system from boundary lubrication to partial lubrication. Trends are explained using several models based on area of contact between wafer and abrasive particles, the extent of lubricity of the system and the compliance of the pad in micro- and macro-scales.
- Philipossian, A., Resales-Yeomans, D., Charns, L., Rogers, C., Doy, T., & Kinoshita, M. (2003). Spectral Analysis of Frictional Forces in ILD CMP. Materials Research Society Symposium - Proceedings, 767, 69-75.More infoAbstract: Spectral analysis of real-time friction data obtained during ILD CMP is used to elucidate the tribology of the process in terms of stick-slip phenomena. Fourier transform analysis is employed to quantify the total amount of mechanical interaction in the pad-slurry-wafer interface as a function of various IC-1000 pad surface textures, PL-4217 fumed silica concentrations, relative pad-wafer velocities and applied wafer pressures. A new parameter termed the 'Interfacial Interaction Index' (γ is defined and determined empirically by integrating the amplitude of the force spectra over a wide range of frequencies. Values of γ extracted from individual force spectra are in qualitative agreement with the tribological information obtained in previous studies using Stribeck curve analysis. This new method is remarkable since it has the potential to eliminate having to perform a multitude of experiments needed for constructing and interpreting Stribeck curves. For a given tribological mechanism, analysis of the spectra for various types of pad textures indicates significant differences between the K-Grooved pad and other types of pads. A qualitative model relating the observed spectra to pad storage modulus is presented as a potential explanation for the above observation.
- Philipossian, A., Rosales-Yeomans, D., & Doi, T. (2003). The 'spectral fingerprints' and the 'sounds' of CMP. Proceedings - Electrochemical Society, 21, 224-235.More infoAbstract: Spectral analysis of friction data obtained during ILD CMP was used effectively to elucidate the tribology of the process in terms of stick-slip phenomena by quantifying the total amount of mechanical interaction in the pad-slurry-wafer interface as a function of various pad types and textures, fumed silica concentrations, relative pad-wafer velocities and applied wafer pressures. A new parameter termed the 'Interfacial Interaction Index' (γ) was defined and determined empirically by integrating the amplitude of the force spectra over a wide range of frequencies. In 90 percent of the cases investigated, values of γ extracted from individual force spectra quantitatively agreed with the tribological information obtained from Stribeck curve analysis over a wide range of operating conditions. The newly developed method was remarkable from the standpoint of its potential to eliminate having to perform a multitude of experiments needed for constructing and interpreting Stribeck curves. For a given tribological mechanism, analysis of the spectra for various types of pad textures indicated significant differences in the 'spectral fingerprint' of various pads depending on their texture, as well as on the type of slurry and processing conditions. This notion was further extended to reproducing the 'sound' of CMP by superimposing the sounds and tempi of individual peaks in the spectrum on one another. It is envisaged that the aforementioned-pad 'fingerprinting' can provide a pathway for fundamental analysis of the effect of pad grooving on stick-slip phenomena which will eventually lead to improved pad designs.
- Philipossian, A., Sugiyama, M., King, D., Charns, L., Degraffenreid, J., & Nguyen-Ngoc, H. (2003). Tribological and removal rate characterization of colloidal silica ILD CMP processes. Proceedings - Electrochemical Society, 21, 261-269.More infoAbstract: Frictional analysis was used to determine the extent of normal and shear forces during CMP and help identify and compare the tribological mechanisms associated with using colloidal silica and fumed silica slurries for ILD CMP applications. Regardless of the type or flow rate of the slurry, the tribological mechanism remained in 'boundary lubrication' over a wide range of Sommerfeld Numbers. Spectral analysis of friction data was effectively used to quantify the extent of contact between the abrasive particles, the pad and the wafer in terms of stick-slip phenomena. Results indicated a 3-fold increase in the 'hydrodynamic chattering' of the process when a fumed silica slurry was used instead of a colloidal silica slurry. These trends were consistent with previous reports indicating an increase in the amount of contact and pad wear associated with fumed silica slurries. The colloidal slurry resulted in up to 35 percent higher removal rate compared to fumed silica. Increasing colloidal silica slurry flow rate by 25 percent resulted in a 10 percent drop in removal rate and possibly an 8 percent drop in COF. To confirm the validity of the slight drop in COF, real-time temperature mapping of the pad surface during CMP was employed. Results indicated that at the lower flow rate, the pad surface temperature was higher by about 1 degree Celsius possibly due to a greater amount of heat dissipation caused by larger drag forces.
- Rosales-Yeomans, D., Doi, T., Kinoshita, M., & Philipossian, A. (2003). Effect of novel pad groove designs on the frictional and removal rate characteristics of ILD CMP. Proceedings - Electrochemical Society, 21, 166-173.More infoAbstract: The impact of parameters such as groove design, wafer pressure and relative pad-wafer velocity on average coefficient of friction (COF) and ILD removal rates of chemical and mechanical polishing (CMP) was investigated. The Sommerfield number, used in conjunction with COF, presented a useful method of describing the extent of contact in the pad-slurry-wafer interface. It was found that the amount of material removed was directly influenced by average COF. Combined grooving patterns, consisting of spiral and logarithmic grooves, influenced several key attributes of ILD polish in terms of slurry retention, tribological mechanism and material removal rates.
- Sorooshian, J., Philipossian, A., Goldstein, M., Beaudion, S., & Huber, W. (2003). Impact of Wafer Geometry and Thermal History on Pressure and von Mises Stress Non-Uniformity During Chemical Mechanical Planarization. Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers, 42(10), 6363-6370.More infoAbstract: This study employs pressure measurements and von Mises stress simulations across surfaces of wafers in order to examine the effect of wafer-ring gap size, extent and direction of wafer bow, and the effect of thermal history on within wafer pressure non-uniformity (WWPNU). WWPNU analysis for nominally flat, thermally untreated, wafers indicates that the wafer's 'central zone' has average pressure profiles, which remain constant, while the 'edge region' exhibits a sharp pressure peak. Dependence of wafer-ring gap size for the 'central zone' of bowed and thermally untreated wafers on WWPNU indicates that pressure profiles at larger gap sizes remain constant regardless of wafer shape. The 'edge zone' shows that the extent and direction of wafer bow has no effect on average pressure and variability. The effect of wafer-ring gap size on WWPNU for thermally treated wafers indicates that heat treatment reduces, or masks, the effect of gap size on average pressure in the 'central zone' of the wafer. A major effect of thermal treatment is the increase in overall pressure variability at the 'edge zone' of wafers.
- Philipossian, A., & Mitchell, E. (2002). Performing mean residence time analysis of CMP processes. MICRO, 20(7), 85-95.More infoAbstract: There is no abstract available for the item entitled Performing mean residence time analysis of CMP processes.
- Coppeta, J., Rogers, C., Racz, L., Philipossian, A., & Kaufman, F. B. (2000). Investigating slurry transport beneath a wafer during chemical mechanical polishing processes. Journal of the Electrochemical Society, 147(5), 1903-1909.More infoAbstract: In order to better understand the role of the fluid behavior in chemical mechanical planarization, we measured slurry transport beneath a glass wafer. We quantified the slurry transport using residence time distribution techniques and two measures of slurry transport efficiency, defined as the percentage of new slurry beneath a wafer. Slurry transport efficiency depended on platen speed, flow rate, and the conditioning method. We found that the average fluid residence times under the wafer decreased roughly linearly with platen speed. A threefold increase in platen speed decreased slurry mean residence time by a factor of 3.5. Changing the flow rate from 20 to 50 mL/min decreased the slurry mean residence times by 80%. In situ conditioning generally increased the slurry mean residence times and the amount of slurry mixing. In situ conditioning also decreased gradients in the slurry composition across the wafer. Pad topography had a large effect on the slurry gradients that developed across the wafer. Finally, we found that the slurry mixing history can be accurately modeled using a simple continuous function.
- Lu, J., Coppeta, J., Rogers, C., Manno, V. P., Racz, L., Philipossian, A., Moinpour, M., & Kaufman, F. (2000). The effect of wafer shape on slurry film thickness and friction coefficients in chemical mechanical planarization. Materials Research Society Symposium - Proceedings, 613, E1.2.1-E1.2.6.More infoAbstract: The fluid film thickness and drag during chemical-mechanical polishing are largely dependent on the shape of the wafer polished. In this study we use dual emission laser induced fluorescence to measure the film thickness and a strain gage, mounted on the polishing table, to measure the friction force between the wafer and the pad. All measurements are taken during real polishing processes. The trends indicate that with a convex wafer in contact with the polishing pad, the slurry layer increases with increasing platen speed and decreases with increasing downforce. The drag force decreases with increasing platen speed and increases with increasing downforce. These similarities are observed for both in-situ and ex-situ conditioning. However, these trends are significantly different for the case of a concave wafer in contact with the polishing pad. During ex-situ conditioning the trends are similar as with a convex wafer. However, in-situ conditioning decreases the slurry film layer with increasing platen speed, and increases it with increasing downforce in the case of the concave wafer. The drag force increases with increasing platen speed as well as increasing downforce. Since we are continually polishing, the wafer shape does change over the course of each experiment causing a larger error in repeatability than the measurement error itself. Different wafers are used throughout the experiment and the results are consistent with the variance of the wafer shape. Local pressure measurements on the rotating wafer help explain the variances in fluid film thickness and friction during polishing.
- Rogers, C., Coppeta, J., Racz, L., Philipossian, A., Kaufman, F. B., & Bramono, D. (1998). Analysis of flow between a wafer and pad during CMP processes. Journal of Electronic Materials, 27(10), 1082-1087.More infoAbstract: In this paper, we summarize the development of a numerical model for the chemical mechanical planarization (CMP) process and experimentally investigate the effects of pad conditioning on slurry transport and mixing. A simplified two-dimensional numerical model of slurry flow beneath a stationary wafer was developed to determine the pressure and shear stress beneath a wafer. The initial results indicate that in the hydrodynamic regime a positive upward pressure is exerted on the wafer. We also examined three cases to study pad effects on slurry transport; polishing with an Embossed Politex pad, an unconditioned IC1000 pad, and a conditioned IC1000 pad. Cab-O-Sperse SC1 slurry was used in a 1:1.5 dilution with water. Mixing data show that conditioning has a negligible effect on the rate of slurry entrainment and mixing; however, conditioning has a large effect on the thickness of the slurry layer between the wafer and pad. Conditioning was found to increase the slurry thickness by a factor of two. In addition the gradients in slurry age beneath the wafer were compared among the three cases. The IC1000 pads supported a gradient in the inner third of the wafer only, while the Embossed Politex pad showed a linear gradient across the wafer implying it retains pockets of unmixed slurry in the embossed topography.
- Coppeta, J., Rogers, C., Philipossian, A., & Kaufman, F. (1997). Technique for measuring slurry-flow dynamics during chemical-mechanical polishing. Materials Research Society Symposium - Proceedings, 447, 95-100.More infoAbstract: Chemical-Mechanical Polishing (CMP) is one of the fastest growing market segments in the semiconductor equipment industry. One of the critical consumable in CMP is a specialized solution, or slurry, which contains both free abrasives and chemicals acting together to planarize a wafer. These chemically active slurries account for approximately one fifth of the total cost of polishing a wafer. Because of the cost and potential toxicity, there are both financial and environmental pressures to reduce the amount of slurry consumed during the CMP process. To accomplish this in a systematic manner we have developed a technique for quantifying slurry flow patterns during CMP which involves using fluorescent dyes to measure slurry mixing, temperature gradients and slurry aging during the polishing. An aqueous fluorescent solution with matching viscosity is used to model the slurry, a glass window models the wafer and a commercially available polisher provides the polishing pad/table rotating work surface. This talk will focus on the diagnostic technique used to measure the slurry behavior as well as some semi-quantitative results on both the amount and uniformity of slurry entrained beneath the wafer as a function of pad topography, injection location and pad rotation rates.
- Doyle, B. S., & Philipossian, A. (1997). Role of nitridation/reoxidation of NH3-nitrided gate dielectrics on the hot-carrier resistance of CMOS transistors. IEEE Electron Device Letters, 18(6), 267-269.More infoAbstract: The effect of nitriding and reoxidizing conditions are examined on the hot-carrier (HC) properties of p-channel and n-channel transistors with reoxidized nitrided oxide gate dielectrics. Nitrogen was introduced into the gate dielectric by performing cyclical nitridation and reoxidation steps (one cycle versus four cycles of nit./reox.), keeping the same overall oxidation and nitridation times constant. It was found that there were considerable differences in hot-carrier hardness, of up to three orders of magnitude for p-channel transistors, but much less for n-channel devices. Nitrogen-content variations (a factor of 2) for these very similar conditions explain the n-channel hot-carrier results. In the case of the p-MOS transistors, it is suggested that changes in hydrogen concentration might be responsible for their hot-carrier behavior.
- Moinpour, M., & Philipossian, A. (1996). Current issues and future trends in CMP consumables for oxide and metal polish. Materials Research Society Symposium - Proceedings, 427, 243-249.More infoAbstract: The recent advent of Chemical Mechanical Planarization (CMP) as a major process technology has a significant impact on the semiconductor industry. Oxide CMP is a technology enabler for logic and dynamic random access storage (DRAM) devices with feature sizes less than or equal to 0.75 micrometer. A unique feature of the CMP module, is that pads and slurries have complex interactions with one another as well as with various components of the polishing equipment, process and wafer product set. This has led to the development of consumables formulated specifically to provide optimum performance for a given equipment and integrated circuit product-set.
- Doyle, B., Soleimani, H. R., & Philipossian, A. (1995). Simultaneous growth of different thickness gate oxides in silicon CMOS processing. IEEE Electron Device Letters, 16(7), 301-302.More infoAbstract: A method is proposed that allows the growth of gate oxides of different thicknesses on a single wafer. The method does not require masking the gate oxide during oxidation with its inherent risk to the oxide quality, but rather relies on the implant of nitrogen into the silicon wafer before both oxide growth and preoxidation cleans. This implant is performed at the same step as the normal threshold voltage implants, avoiding possible contamination. Using Nitrogen implant doses of the order of 3 × 1014-3 × 1015 cm-2, it is shown that it is possible to grow oxides of 30-70 angstrom, for a process with a nominal oxide thickness of 90 angstrom.
- Meuris, M., Mertens, P. W., Opdebeeck, A., Schmidt, H. F., Depas, M., Vereecke, G., Heyns, M. M., & Philipossian, A. (1995). IMEC clean: A new concept for particle and metal removal on Si surfaces. Solid State Technology, 38(7).More infoAbstract: This article describes the IMEC clean concept, a simple two-step cleaning method based on oxidation and oxide removal. This clean has excellent particle and metal removal efficiencies and minimal surface roughening. Electrical breakdown measurements show very good gate-oxide integrity as well.
- Philipossian, A., & Soleimani, H. R. (1995). Determining the wafer temperature in atmospheric thermal silicon oxidation reactors. Journal of the Electrochemical Society, 142(5), L67-L69.More infoAbstract: It has been shown that, in ultrathin gate oxidation processes, temperature ramp-up in atmospheric thermal silicon oxidation reactors subjects the wafers to severe temperature nonuniformities. The extent of this nonuniformity has been determined via a method based on the principle that for a given type of silicon substrate, in the absence of nonuniform oxygen partial pressure distributions, the oxidation rate of silicon depends only on substrate temperature. By experimentally determining within-wafer and wafer-to-wafer SiO2 growth rate variabilities, and by using an SiO2 growth rate computer simulation model, substrate temperature has been determined.
- Philipossian, A., Doyle, B., & Wormer, K. V. (1995). Nitrogen incorporation in gate dielectrics: a correlation between Auger electron spectroscopy and surface charge analysis techniques. Journal of the Electrochemical Society, 142(10), L171-L172.More infoAbstract: Thermal oxides are grown under various processing conditions which introduce nitrogen into the gate dielectric. It is shown that the positive charge introduced during these processes, measured by surface charge analysis (SCA), correlates with the amount of nitrogen incorporated in the oxides, measured by Auger electron spectroscopy (AES). It is suggested that the SCA technique offers a simple and cost-effective method of characterizing oxides for their nitrogen content.
- Philipossian, A., Moinpour, M., Wilkinson, R., & Watt, V. H. (1995). Investigation of pre-tungsten silicide deposition wet chemical processing. Materials Research Society Symposium - Proceedings, 386, 75-82.More infoAbstract: Removing the native oxide from the poly-Si surface prior to WSix deposition is essential for achieving high quality silicides as well as sufficient film adhesion, particularly after high temperature anneal or oxidation. Contact angle studies have been used to determine initial and time-dependent surface characteristics of several types of silicon surfaces following immersions in HF-based etchants for varying amounts of time. The morphological characteristics of the surfaces before and after exposure to etchants, as well as the relative etch rates and wetting capabilities of the etchants have been used to explain the following results: With respect to initial contact angle studies, the implanted & annealed polycrystalline silicon surface has the lowest contact angle followed by polycrystalline and monocrystalline surfaces. Longer immersion times yield lower initial contact angles. The 0.1% lightly-buffered HF solution results in the highest contact angle followed by the 1% buffered HF solution with surfactant, and the 1% HF solution. With respect to contact angle changes during ambient air exposure time, the as-deposited polycrystalline silicon surface is most stable followed by monocrystalline, and implanted & annealed polycrystalline silicon surfaces. Longer immersion times improve surface stability while the 0.1% lightly-buffered HF solution results in the most stable surface followed by the 1% buffered HF solution with surfactant, and the 1% HF solution.
- Soleimani, H. R., Doyle, B. S., & Philipossian, A. (1995). Formation of ultrathin nitrided SiO2 oxides by direct nitrogen implantation into silicon. Journal of the Electrochemical Society, 142(8), L132-L134.More infoAbstract: A nitridation technique is proposed for ultrathin, SiO2 oxides in deep submicron CMOS technology, which involves direct implantation of molecular nitrogen (N2) into the silicon substrate. N2 ions were implanted into silicon at different doses and energies, through a 150 angstrom thick screen oxide. In this study the effect of implanted N atoms on silicon oxidation, and SiO2 oxide nitridation process have been studied. Two groups of the N2-implanted wafers were used: wafers from one group were annealed prior to the screen oxide removal, whereas wafers of the other group did not receive this anneal. It is shown that nitridation can be achieved both ways, allowing this technique to be easily integrated into a semiconductor IC fabrication process.
- Doyle, B. S., & Philipossian, A. (1993). p-Channel hot-carrier optimization of RNO gate dielectrics through the reoxidation step. IEEE Electron Device Letters, 14(4), 161-163.More infoAbstract: The effects of reoxidation conditions on the hot-carrier properties of reoxidized nitrided oxides (RNO's) for both n- and p-MOS transistors are examined. Using a recently developed lifetime extraction technique for p-MOS transistors, it is shown that the reoxidation conditions for the RNO dielectric involve a compromise between n-channel hot-carrier hardness and p-channel hot-carrier susceptibility. Whereas the n-MOS transistors lifetimes are relatively unchanged as a function of reoxidation time, the p-MOS devices show monotonic increases with increased reoxidation time. This is attributed to changes of nitrogen concentration in the bulk of the oxide, but not at the interface. It is concluded that attention will have to be paid to the p-channel transistor reliability when optimizing the RNO process.
- Heyns, M. M., Verhaverbeke, S., Meuris, M., Mertens, P. W., Schmidt, H., Kubota, M., Philipossian, A., Dillenbeck, K., Graef, D., Schnegg, A., & Blank, R. d. (1993). New wet cleaning strategies for obtaining highly reliable thin oxides. Materials Research Society Symposium Proceedings, 315, 35-45.More infoAbstract: The effect of metal contamination and silicon surface defects on the gate oxide yield is investigated. The characteristics of various cleaning procedures are studied and correlated with the integrity of thin gate oxides. The standard wet cleaning recipe is optimized and a new cleaning strategy is proposed. Selective contamination experiments in chemicals and on Si-wafers are used to investigate the effect of small amounts of metal contaminants on the gate oxide integrity. It is found that the characteristics of the silicon substrate play a dominant role in this. HF-last processes are investigated and a new wet cleaning strategy is proposed.
- Mertens, P. W., Meuris, M., Schmidt, H. F., Verhaverbeke, S., Heyns, M. M., Graef, D., Schnegg, A., Kubota, M., Dillenbeck, K., & Philipossian, A. (1993). Interaction between wafer cleanliness and wafer effects. Proceedings, Annual Technical Meeting - Institute of Environmental Sciences, 1, 231-237.More infoAbstract: In this paper the effect of Fe, on gate oxide integrity (GOI) is discussed. It is demonstrated that the effect of Fe on GOI is strongly dependent on the silicon substrate. Therefore different kinds of wafers have been used. Whereas the Fe-contaminated wafers show circular patterns of degraded GOI performance, such patterns could not be observed on clean reference wafers. The GOI performance of the Fe-contaminated wafers is described using a new comprehensive statistical model.
- Meuris, M., Verhaverbeke, S., Mertens, P. W., Schmidt, H. F., Heyns, M. M., Kubota, M., Philipossian, A., Dillenbeck, K., Gräf, D., Schnegg, A., & Blank, R. d. (1993). Cleaning technology for improved gate oxide integrity. Microelectronic Engineering, 22(1-4), 21-28.More infoAbstract: The effect of metal contamination and silicon surface defects on the gate oxide yield is investigated. The characteristics of various cleaning procedures are studied and correlated with the integrity of thin gate oxides. The standard wet cleaning recipe is optimized and a new cleaning strategy is proposed. Selective contamination experiments in chemicals are used to investigate the effect of small amounts of metal contaminants on the gate oxide integrity. HF-last processes are investigated and a new wet cleaning strategy is proposed. © 1993.
- Soleimani, H. R., & Philipossian, A. (1993). Investigation of two-step oxidation in dry oxygen and the effect of oxide thermal history on its growth properties. Journal of the Electrochemical Society, 140(6), 1744-1747.More infoAbstract: Gate oxide growth in ultralarge scale complementary metal oxide semiconductor technologies involves exposure to various oxidizing conditions during temperature tramp-up and stabilization steps. These conditions are significantly different from that of the main oxidation interval. Previous studied dealing with thick oxides having different preoxidation treatments have indicated that thermal history has a significant impact on subsequent oxide growth rate of the initial oxide. However, these trends are unclear for ultrathin oxides. In this paper, we report the results of our study on two-step oxidation in the thin (accelerated) regime. We have used initial oxides of different thicknesses, grown under various processing conditions prior to growing the second oxide film. The results indicate that, in contrast to the case of thick oxides, the thermal history from the first step oxidation (i.e., during temperature ramp-up and stabilization or initial oxidation) has no impact on subsequent oxide growth rate. Furthermore, we have extended the accelerated growth model by Massoud to account for two-step oxidations.
- Verhaverbeke, S., Werkhoven, C., Meuris, M., Schmidt, H. F., Dillenbeck, K., Mertens, P., Heyns, M., & Philipossian, A. (1993). Investigation of sources of metallic contamination by TXRF. Proceedings, Annual Technical Meeting - Institute of Environmental Sciences, 1, 423-431.More infoAbstract: In this work we show a number of different applications for the use of TXRF to investigate metallic impurity sources. At first, we show that TXRF is well suited to measure metallic impurities in liquids which can be dried on a Si wafer. Then we show the measurement of the leaching of metallic impurities from polymers. Finally, by using a scrubber, the metallic impurities in gases can be measured and the performance of filters can be investigated.
- Heyns, M. M., Meuris, M., Verhaverbeke, S., Mertens, P. W., Philipossian, A., Graf, D., & Schnegg, A. (1992). Advanced Si-surface preparation techniques for improved gate oxide integrity. Conference on Solid State Devices and Materials, 187-189.More infoAbstract: Gate oxide breakdown is one of the major yield and reliability concerns in MOS technology. In this paper the influence of modified RCA pre-oxidation cleanings on the gate oxide integrity is investigated. The effect of the Si-surface roughening generated during the SCl-clean on the oxide characteristics is illustrated. Selective contamination experiments are used to investigate the effect of small amounts of contamination on the oxide breakdown. Finally, HF-last processes are investigated and some novel HF-processes are proposed.
- Meuris, M., Heyns, M. M., Mertens, P. W., Verhaverbeke, S., & Philipossian, A. (1992). Investigating techniques to improve gate-oxide integrity. Microcontamination, 10(5), 10pp.More infoAbstract: Understanding, and thereby preventing or eliminating, the causes of gate-oxide breakdown is among the primary concerns in MOS technology. Specifically, the effects of preoxidation cleaning need to be understood so that these processes can be optimized, and conditions affecting the oxidation process itself need to be taken into consideration when chambers are upgraded to meet increasingly stringent requirements. In the research reported in this article, the influence on gate-oxide integrity of modified RCA cleans was investigated. Based on the experimental results, a new SC-1 formulation and the elimination of the SC-2 step under certain conditions are proposed. The effect of the time waited between cleaning and oxidation furnace loading was also assessed. Finally, furnace loading and ramp-up in an inert ambient was studied, and it was found that oxygen should be added to the inert gas to prevent wafer surface roughening.
- Meuris, M., Verhaverbeke, S., Mertens, P. W., Heyns, M. M., Hellemans, L., Bruynseraede, Y., & Philipossian, A. (1992). Relationship of the silicon surface roughness and gate oxide integrity in NH4OH/H2O2 mixtures. Japanese Journal of Applied Physics, Part 2: Letters, 31(11 A), L1514-L1517.More infoAbstract: In this study some recent findings on the cleaning action of the NH4OH/H2O2(SC1) step in a pre-gate oxidation cleaning (RCA cleaning) are given. An important parameter in this mixture is the NH4OH/H2O2 ratio. The Fe contamination on the silicon surface after this cleaning step is found to increase upon decreasing the NH4OH/H2O2 ratio. This can be attributed to the incorporation of Fe in the chemical oxide, grown by the hydrogen peroxide. The particle removal efficiency of the cleaning step is found to decrease upon decreasing the NH4OH/H2O2 ratio. On the other hand, using a lower NH4OH concentration results in a less severe silicon surface roughening. It is demonstrated in this study that the NH4OH/H2O2 ratio during the SC1 step of the cleaning is the determining parameter for the breakdown properties of a gate oxide. A (0.25/1/5)NH4OH/H2O2/H2O mixture at 75°C in our experimental conditions is suggested to be the best compromise between particle removal and surface roughness during the SC1 step.
- Philipossian, A. (1992). Activity of HF/H2O treated silicon surfaces in ambient air before and after gate oxidation. Journal of the Electrochemical Society, 139(10), 2956-2961.More infoAbstract: A strong correlation is observed among surface charge, contact angle, and native oxide thickness in which up to 10,000 min of exposure time to ambient air, the liquid-HF/H2O and vapor-HF/H2O treated surfaces seem to undergo three distinct periods of evolution. The results indicate that the vapor-HF/H2O treatment yields surfaces with greater activity in ambient air. The trends, which are explained by considering the reaction between unpassivated trivalent silicon and hydroxyl groups, are shown to be consistent with XPS data on surface carbon, fluorine, and oxygen. Following thermal oxidation, the total oxide charge, oxide thickness, and contact angle are stable over time. This is possibly due to the complete surface oxide coverage. The thermal oxide thicknesses of liquid-HF/H2O and vapor-HF/H2O treated surfaces are different and can be correlated to preoxidation surface XPS results. Following thermal oxidation, no differences between vapor-HF/H2O and liquid-HF/H2O are detected in terms of oxide charge and contact angle as a function of ambient air exposure time. However, results indicate that an increase in the waiting period prior to oxidation in ambient air results in lower oxide charge values. This is attributed to the increase in hydroxyl coverage as a function of waiting period.
- Philipossian, A., & Jackson, D. B. (1992). Kinetics of oxide growth during reoxidation of lightly nitrided oxides. Journal of the Electrochemical Society, 139(9), 82-83.More infoAbstract: The change in dielectric thickness during light nitridation and reoxidation of thin thermally grown oxides has been measured using both optical and electrical techniques. The results of these measurements are shown to be consistent. No change in dielectric thickness is observed during nitridation. The results indicate that the thickness increase during the reoxidation following nitridation obeys a universal curve which depends only on the ratio of reoxidation time to nitridation time. A simple physical explanation is that the nitridation of the dielectric results in a barrier to additional oxide growth. The amount of time required for the reoxidation to overcome the barrier increases with increasing nitridation time.
- Philipossian, A., & Wormer, K. V. (1991). Nonideal flow behavior analysis of atmospheric thermal silicon oxidation reactors by the residence time distribution technique. Journal of the Electrochemical Society, 138(8), 2451-2459.More infoAbstract: Flow nonidealities in high-throughput, atmospheric, thermal silicon oxidation reactors have been investigated by the residence time distribution technique using mass spectrometric residual gas analysis. The resulting cumulative age distribution has been used to determine the effect of baffle configuration, gas flow rate, and injector nozzle design on the local mean residence time and local mean intenal age of gas molecules within the reactor. The residence time distribution technique, which has traditionally been employed at the exit stream of flow systems, has been extended in this study to investigate nonideal flow behavior in radial as well as longitudinal locations within the reactor. Uniform gas distribution has been observed in the radial direction of the reactor. The use of coaxial injector nozzles has been shown to be unsuitable for performing ultra-thin dry oxidation processes due to the formation of sluggish-flow regions throughout the system. Non-homogeneities in the oxygen partial pressure distribution across the wafer load have been partially eliminated by reducing the magnitude of the local mean residence time as well as its variability in the longitudinal direction of the reactor. This has been achieved by increasing the gas flow rate and by incorporating the maximum number of baffles at both ends of the wafer load. Having determined that both continuously stirred tank reactors (CSTR) and plug flow reactors can result in ideal silicon dioxide uniformities, the one-parameter CSTRs-in-Series reactor model has been used to characterize the nature of fluid flow under various operating conditions. Except for the entrance region of the reactor, no correlation has been observed between the number of CSTRs-in-Series and gas flow rate. The results have indicated the attainment of CSTR conditions throughout the entire wafer load to be virtually impossible. However, it has been shown that incorporating the maximum number of baffles at both ends of the wafer load significantly promotes plug flow characteristics and can be used to reduce the nonideal flow behavior of atmospheric thermal silicon oxidation systems.
- Philipossian, A., Jackson, D., Kamieniecki, E., & Resnick, A. (1990). Effect of ambient air infiltration on growth rate and electrical characteristics of ultra-thin silicon dioxide gate insulators. Proceedings - The Electrochemical Society, 90(9), 357-367.More infoAbstract: Effective chemical cleaning of silicon surfaces prior to gate oxidation is critical in ensuring reliable gate oxide integrity. Of equal importance is the ability to keep the silicon surface free of any contamination during subsequent thermal processing. During the thermal oxidation of silicon, Ambient Air Infiltration (AAI) has been shown to be a significant source of wafer-to-wafer and within wafer thickness variability. AAI has also been shown to be a major factor in affecting the total oxide charge. Increasing reactant gas flow rates, processing the wafers in encapsulated cantilevers, optimum placement of gas baffles, and controlling reactor exhaust rates have helped reduce ambient air infiltration and the detrimental effects of this source of contamination.
- Resnick, A., Kamieniecki, E., Philipossian, A., & Jackson, D. (1990). Effect of silicon wafer cleaning on pre and post thermal oxidation charges. Proceedings - The Electrochemical Society, 90(9), 335-340.More infoAbstract: A controlled study is reported in which a correlation between pre and post oxidation charge is established. This study utilizes a Surface Charge Analyzer (SCA) to measure electrically active contamination on the surface of silicon wafers. The SCA is a new surface photovoltage technique using low intensity light chopped at high frequencies to characterize the electrical properties of a silicon wafer surface. The method does not require any additional processing. By measuring charge values after pre oxidation cleaning, gate oxidation, and anneal it is shown that the contamination left on bare wafers is highly dependent on the last steps of the cleaning process. It is also shown that this contamination has a significant impact on the quality of a subsequent gate oxidation. A higher temperature nitrogen anneal reduces the oxide charge variation observed, but is not sufficient to compensate for charge induced at cleaning.
- Philipossian, A., & Sarkozy, R. F. (1984). CHEMICAL VAPOR DEPOSITION OF HIGH TEMPERATURE SILICON DIOXIDE.. Electrochemical Society Extended Abstracts, 84-2, 642-643.More infoAbstract: Since its introduction in the mid-1970's, Vacuum-CVD high temperature oxide (HTO) has found numerous applications in the semiconductor industry. It is most extensively used as a primary or secondary passivation layer over silicon devices, and can be used as an intermediate coating applied to a substrate to minimize hysteresis and enhance adhesion. This work describes the design, construction, and performance of a V-CVD system for the large-scale industrial production of thin-film HTO, on circular substrates up to 150mm in diameter.