Srini Raghavan
Contact
- (520) 979-2348
- Mines And Metallurgy, Rm. 141
- Tucson, AZ 85721
- srini@arizona.edu
Bio
No activities entered.
Interests
No activities entered.
Courses
2022-23 Courses
-
Dissertation
MSE 920 (Spring 2023) -
Dissertation
MSE 920 (Fall 2022)
2021-22 Courses
-
Dissertation
MSE 920 (Spring 2022) -
Dissertation
MSE 920 (Fall 2021)
2020-21 Courses
-
Dissertation
CHEE 920 (Spring 2021) -
Dissertation
MSE 920 (Spring 2021) -
Independent Study
MSE 499 (Spring 2021) -
Senior Capstone
MSE 498 (Spring 2021) -
Thesis
MSE 910 (Spring 2021) -
Dissertation
CHEE 920 (Fall 2020) -
Dissertation
MSE 920 (Fall 2020) -
Semiconductor Processing
ECE 446 (Fall 2020) -
Semiconductor Processing
ECE 546 (Fall 2020) -
Semiconductor Processing
MSE 446 (Fall 2020) -
Semiconductor Processing
MSE 546 (Fall 2020) -
Senior Capstone
MSE 498 (Fall 2020) -
Thesis
MSE 910 (Fall 2020)
2019-20 Courses
-
Dissertation
CHEE 920 (Spring 2020) -
Dissertation
MSE 920 (Spring 2020) -
Independent Study
MSE 499 (Spring 2020) -
Research
CHEE 900 (Spring 2020) -
Senior Capstone
MSE 498 (Spring 2020) -
Thesis
MSE 910 (Spring 2020) -
Appld Surface Chemistry
MSE 503 (Fall 2019) -
Dissertation
CHEE 920 (Fall 2019) -
Dissertation
MSE 920 (Fall 2019) -
Internship
MSE 493 (Fall 2019) -
Semiconductor Processing
ECE 446 (Fall 2019) -
Semiconductor Processing
ECE 546 (Fall 2019) -
Semiconductor Processing
MSE 446 (Fall 2019) -
Semiconductor Processing
MSE 546 (Fall 2019) -
Senior Capstone
MSE 498 (Fall 2019) -
Thesis
MSE 910 (Fall 2019)
2018-19 Courses
-
Dissertation
CHEE 920 (Spring 2019) -
Dissertation
MSE 920 (Spring 2019) -
Thesis
MSE 910 (Spring 2019) -
Dissertation
MSE 920 (Fall 2018) -
Independent Study
MSE 399 (Fall 2018) -
Semiconductor Processing
ECE 446 (Fall 2018) -
Semiconductor Processing
ECE 546 (Fall 2018) -
Semiconductor Processing
MSE 446 (Fall 2018) -
Semiconductor Processing
MSE 546 (Fall 2018) -
Thesis
MSE 910 (Fall 2018)
2017-18 Courses
-
Dissertation
MSE 920 (Spring 2018) -
Research
MSE 900 (Spring 2018) -
Senior Capstone
MSE 498 (Spring 2018) -
Solid State Chemistry
MSE 110 (Spring 2018) -
Thesis
MSE 910 (Spring 2018) -
Corrosion + Degradation
CHEE 535 (Fall 2017) -
Corrosion + Degradation
ENGR 435 (Fall 2017) -
Corrosion + Degradation
MSE 435 (Fall 2017) -
Corrosion + Degradation
MSE 535 (Fall 2017) -
Dissertation
CHEE 920 (Fall 2017) -
Dissertation
MSE 920 (Fall 2017) -
Research
MSE 900 (Fall 2017) -
Semiconductor Processing
ECE 446 (Fall 2017) -
Semiconductor Processing
ECE 546 (Fall 2017) -
Semiconductor Processing
MSE 446 (Fall 2017) -
Semiconductor Processing
MSE 546 (Fall 2017) -
Senior Capstone
MSE 498 (Fall 2017) -
Thesis
MSE 910 (Fall 2017)
2016-17 Courses
-
Dissertation
CHEE 920 (Spring 2017) -
Dissertation
MSE 920 (Spring 2017) -
Research
CHEE 900 (Spring 2017) -
Research
MSE 900 (Spring 2017) -
Semiconductor Processing Lab
MSE 447L (Spring 2017) -
Semiconductor Processing Lab
MSE 547L (Spring 2017) -
Thesis
MSE 910 (Spring 2017) -
Appld Surface Chemistry
MSE 503 (Fall 2016) -
Dissertation
CHEE 920 (Fall 2016) -
Dissertation
MSE 920 (Fall 2016) -
Independent Study
MSE 599 (Fall 2016) -
Research
CHEE 900 (Fall 2016) -
Research
MSE 900 (Fall 2016) -
Semiconductor Processing
ECE 446 (Fall 2016) -
Semiconductor Processing
ECE 546 (Fall 2016) -
Semiconductor Processing
MSE 446 (Fall 2016) -
Semiconductor Processing
MSE 546 (Fall 2016) -
Senior Capstone
MSE 498 (Fall 2016) -
Thesis
MSE 910 (Fall 2016)
2015-16 Courses
-
Dissertation
CHEE 920 (Spring 2016) -
Research
MSE 900 (Spring 2016) -
Semiconductor Processing Lab
MSE 447L (Spring 2016) -
Semiconductor Processing Lab
MSE 547L (Spring 2016) -
Senior Capstone
MSE 498 (Spring 2016) -
Thesis
MSE 910 (Spring 2016)
Scholarly Contributions
Journals/Publications
- Kumari, S., Keswani, M., Singh, S., Beck, M., Liebscher, E., & Raghavan, S. (2014). Enhanced megasonic processing of wafers in MegPie® using carbonated ammonium hydroxide solutions. Microelectronic Engineering, 114, 148-153.More infoAbstract: Megasonic irradiation of cleaning solutions removes particulate contaminants from wafer surfaces through the mechanisms of acoustic streaming and acoustic cavitation. Uncontrolled cavitation, however, also damages fragile wafer features. It has been hypothesized that damage results primarily from violent transient cavitation while cleaning results from the gentler, stable cavitation and is assisted by substrate etching at alkaline pH. A central challenge in the megasonic cleaning field is to find physical and chemical conditions that would suppress violent cavitation without adversely affecting cleaning efficiency. We have previously reported the strong ability of aqueous CO2 in suppressing sonoluminescence, a measure of transient cavitation, and wafer damage. However, dissolution of CO2 also renders solutions acidic and lowers their ability to remove particles. Here we report the development of two new systems, NH4HCO3/NH 4OH and NH4OH/CO2(g) designed to suppress pattern damage and enhance cleaning efficiency. Using megasonic irradiation of bare and line-space patterned wafers in a single wafer spin cleaning tool, MegPie®, we measured the efficiencies of these systems as well as NH 4OH, at pH 8.2, in removing SiO2 particles from oxide Si wafers and suppressing damage to wafer features. All systems were found to achieve high particle removal efficiencies but extent of damage was strongly reduced in the newly designed NH4HCO3/NH4OH and NH4OH/CO2(g) compared to NH4OH. This study establishes a means for well controlled generation of CO2(aq) over an extended pH range (4.0-8.5) and its application in strongly reducing wafer damage without compromising megasonic cleaning efficiency. © 2012 Elsevier B.V. All rights reserved.
- Taubert, J., & Raghavan, S. (2014). Effect of composition of post etch residues (PER) on their removal in choline chloride-malonic acid deep eutectic solvent (DES) system. Microelectronic Engineering, 114, 141-147.More infoAbstract: In back end of line (BEOL) processing of copper/dielectric stacks, the composition of the post etch residues (PER) affects their removal by wet chemical formulations. For the removal of PER composed mainly of copper oxides and fluorides, deep eutectic solvent (DES) systems composed of choline chloride (CC) and urea (U) or choline chloride (CC) and malonic acid (MA) have been shown to be very effective. This paper will discuss the applicability of CC/MA system with and without the addition of glacial acetic acid (HAc) for the removal of residues that exhibit both inorganic and organic character. Different types of residues were created by CF4/O2 plasma etching of deep UV (DUV) photoresist (PR) films on Cu. By varying the time of etching, post-etch residues of varying inorganic and organic composition were generated and characterized using XPS. The effectiveness of CC/MA and HAc/CC/MA systems in removing the prepared post etch residues was characterized by SEM, XPS and single frequency impedance measurements. The results indicate that HAc/CC/MA system is very effective in removing different types of residues. © 2012 Elsevier B.V. All rights reserved.
- Han, Z., Keswani, M., & Raghavan, S. (2013). Megasonic cleaning of blanket and patterned samples in carbonated ammonia solutions for enhanced particle removal and reduced feature damage. IEEE Transactions on Semiconductor Manufacturing, 26(3), 400-405.More infoAbstract: An investigation of particle removal efficiency and feature damage has been conducted in NH4 OH/NH4 HCO3 cleaning solutions irradiated with megasonic energy. By adjusting the pH of the solution in the range of 8.2-8.5, high particle removal efficiency (PRE) was achieved while feature damage was reduced significantly. The sonoluminescence data collected from NH4 OH and NH4 OH/NH4 HCO 3 solutions indicate significant suppression of transient cavitation in alkaline solutions containing aqueous CO2. © 1988-2012 IEEE.
- Keswani, M., Raghavan, S., & Deymier, P. (2013). A novel way of detecting transient cavitation near a solid surface during megasonic cleaning using electrochemical impedance spectroscopy. Microelectronic Engineering, 108, 11-15.More infoAbstract: Megasonic energy assisted wet cleaning is traditionally used for removal of particulate contaminants from wafer and mask surfaces in semiconductor industry. One of the major issues associated with megasonic cleaning is the damage caused to fragile features due to transient cavitation. Development of a method to monitor transient cavitation events in solutions irradiated with sound energy will allow chemical formulators to fine tune the cleaning chemistry and acoustic field parameters for maximum cleaning efficiency without any feature damage. In this work, a method based on electrochemical impedance spectroscopy (EIS) measurements on a microelectrode has been found to be effective in detection of transient cavity collapses in solutions subjected to ∼1 MHz sound field. Additionally, the technique also provides useful information about the diffusion boundary layer thicknesses in the presence and absence of megasonic field. © 2013 Elsevier B.V. All rights reserved.
- Keswani, M., Raghavan, S., & Deymier, P. (2013). Characterization of transient cavitation in gas sparged solutions exposed to megasonic field using cyclic voltammetry. Microelectronic Engineering, 102, 91-97.More infoAbstract: The application of megasonic energy in semiconductor cleaning solutions has been shown to be very effective in improving the particle removal efficiency (PRE). There has been a significant interest in understanding the phenomena of cavitation and acoustic streaming, which are known to play an important role in particle removal during megasonic cleaning. In the present work, transient cavitation in acoustically (∼1 MHz frequency) irradiated aqueous solutions containing different dissolved gases (Ar, N 2 and CO 2) has been characterized with a 25 μm diameter microelectrode using high resolution cyclic voltammetry. Specifically, using ferricyanide as an electrochemical probe, current transients are obtained as a function of time. A simple mathematical analysis based on diffusion is used to correlate the collapse characteristics of a transient cavity to the magnitude of current peaks and the time scale of rise and fall in current. © 2011 Elsevier B.V. All rights reserved.
- Keswani, M., Raghavan, S., & Deymier, P. (2013). Effect of non-ionic surfactants on transient cavitation in a megasonic field. Ultrasonics Sonochemistry, 20(1), 603-609.More infoPMID: 22771199;Abstract: High resolution chronoamperometry has been used to characterize the effect of two non-ionic surfactants, Triton® X-100 and NCW®-1002, on cavitation in aqueous solutions exposed to ∼1 MHz sound field. Specifically, using ferricyanide as the electroactive species, temporal variation of current during its reduction on a 25 μm Pt microelectrode has been measured and is used to elucidate transient cavity behavior. The chronoamperograms for solutions exposed to megasonic field show current 'peaks' riding on the baseline current. These current 'peaks' have been attributed to the diffusion of ferricyanide species concentrated at the liquid-vapor interface of a transient cavity at the end of its collapse. In the presence of surfactants, the frequency of occurrence of current 'peaks' with magnitude ≥0.3 μA is found to increase indicating a higher number of transient cavity collapses. A simple mathematical model based on diffusion developed previously by the authors has been used to extract the maximum cavity size and range of distances between the center of the collapsing cavity and the electrode surface in the surfactant solutions. © 2012 Elsevier B.V. All rights reserved.
- Siddiqui, S., Keswani, M., Brooks, B., Fuerst, A., & Raghavan, S. (2013). A study of hydrogen peroxide decomposition in ammonia-peroxide mixtures (APM). Microelectronic Engineering, 102, 68-73.More infoAbstract: The stability of ammonia-peroxide mixtures (APM) has been investigated as a function of temperature (24-65°C), dilution ratio (1:1:5-1:2:100) and Fe 2+ concentration (0-10 ppb) using an optical concentration monitor. The results show that the change in hydrogen peroxide concentration increased with an increase in temperature and Fe 2+ concentration in a four hour period. The decomposition rate of hydrogen peroxide increased with an increase in solution pH in the range of 8.0 to 9.7. The kinetic analysis of experimental data showed that the H 2O 2 decomposition reaction follows a first order kinetics with respect to both H 2O 2 and OH - concentrations. In the presence of Fe 2+, hydrogen peroxide decomposition followed a first order reaction kinetics with respect to H 2O 2 concentration. The calculated rate constant increased with an increase in temperature and Fe 2+ concentration. The apparent activation energy of H 2O 2 decomposition was calculated to be 65 ± 3 kJ/mol. In the presence of Fe 2+, the activation energy was calculated to be 50 ± 5 kJ/mol. © 2011 Elsevier B.V. All rights reserved.
- Taubert, J., Keswani, M., & Raghavan, S. (2013). Post-etch residue removal using choline chloride-malonic acid deep eutectic solvent (DES). Microelectronic Engineering, 102, 81-86.More infoAbstract: Eutectic mixture of choline chloride (CC) and malonic acid (MA) in a molar ratio of 1:1 has been evaluated as a potential chemical system for the removal of residues produced by CF 4/O 2 plasma etching of copper coated with DUV photoresist. Immersion cleaning was performed in the liquid at the eutectic composition at 40 and 70 °C. Residue removal rate was screened using scanning electron microscopy and verified using X-ray photoelectron spectroscopy and electrochemical impedance spectroscopy measurements. The results presented in this paper show that choline chloride-malonic acid eutectic is effective in removing post-etch residues and has the potential to function as a back end of line cleaning formulation. © 2011 Elsevier B.V. All rights reserved.
- Govindarajan, R., Keswani, M., Raghavan, S., & Somogyi, A. (2012). Effect of pretreatment of high-dose implanted resists by activated hydrogen peroxide chemical systems for their effective removal by conventional sulfuric-peroxide mixtures. IEEE Transactions on Semiconductor Manufacturing, 25(3), 523-530.More infoAbstract: Stripping of photoresists (PRs) exposed to high-dose (>1E15 atoms/cm 2) ion beams is one of the most challenging steps in front-end-of-line (FEOL) processing. This is due to a refractory crust that forms on the resist surface during ion implantation. The objective of this paper is to investigate the use of hydrogen peroxide systems activated by metal ion or ultraviolet (UV) light for disrupting crust formed on deep UV resist to enable complete removal of crust as well as underlying PR. Systematic investigation of variables such as hydrogen peroxide and metal ion concentration and UV intensity has led to the development of an optimal formulation for attacking the crust. Optical microscopy, confocal microscopy, field-emission scanning electron microscopy, and X-ray photoelectron spectroscopy have been used to monitor the removal of the resist. A two-step process involving pretreatment with activated hydrogen peroxide solution followed by treatment with sulfuric acid-hydrogen peroxide mixture to remove crust and underlying resist has been developed. © 1988-2012 IEEE.
- Kumari, S., Keswani, M., Singh, S. K., Beck, M., Leibscher, E., Deymier, P., & Raghavan, S. (2012). Control of sonoluminescence in carbon dioxide containing DI water at near neutral pH conditions. Diffusion and Defect Data Pt.B: Solid State Phenomena, 187, 177-180.More infoAbstract: Light emission in sound-irradiated liquids, known as Sonoluminescence (SL), is associated with the phenomenon of cavitation that affects wafer damage during megasonic processing of wafers. It has been shown that the intensity of SL can be substantially decreased through the dissolution of carbon dioxide in deionized water. However, such dissolution decreases the pH to roughly 4.0, which is not very desirable for the removal of contaminant particles. This paper reports two chemical systems that are capable of taking advantage of the effect of CO 2 while allowing the use of slightly higher pH values. Specifically, NH 4OH/CO 2 and NH 4HCO 3/dilute HCl systems have been shown to be capable of well controlled reduction in SL at pH 5.7 or 7.0. In order to test whether the free radical scavenging ability of CO 2 may be responsible for its strong SL-inhibitory effect, the effect of a well known free radical scavenger, dimethyl sulfoxide (DMSO), on SL produced in DI water has been investigated. © (2012) Trans Tech Publications.
- Padmanabhan, D., Raghavan, S., & Keswani, M. (2012). Effect of water addition to choline chloride-urea deep eutectic solvent (DES) on the removal of post-etch resid ues formed on copper. IEEE Transactions on Semiconductor Manufacturing, 25(3), 516-522.More infoAbstract: Feasibility of dissolution of post-etch residues (PERs) formed on copper in formulations containing a mixture of urea (U)-choline chloride (CC) deep eutectic solvent (DES) and water (W) has been investigated. PER films were formed on copper surface by spin coating deep ultraviolet photoresist film, followed by CF 4/O 2 plasma etching. The residue removal process was characterized using X-ray photoelectron spectroscopy and scanning electron microscopy techniques. Effective removal of PER was obtained in water-DES solutions containing as high as 90% water in the temperature range of 20-40°C. Additionally, the etch rates of copper and siloxane-based low-k dielectric material in water-DES solutions were found to be lower than that in deaerated 250:1 [H 2O: hydrofluoric acid (HF) (49%) volume ratio] dilute HF solutions. © 1988-2012 IEEE.
- Pandit, V., Keswani, M., Siddiqui, S., & Raghavan, S. (2012). Comparison of gold particle removal from fused silica and thermal oxide surfaces in dilute ammonium hydroxide solutions. Diffusion and Defect Data Pt.B: Solid State Phenomena, 187, 159-162.More infoAbstract: Removal of gold particles (40 nm and 100 nm) from fused silica and thermal oxide surfaces in dilute ammonium hydroxide solutions has been investigated. The particle removal efficiency (PRE) from fused silica surface has been found to be a strong function of ammonium hydroxide concentration and bath temperature. PRE increases from 0 to 85 % with increase in bath temperature from 30 to 80 °C for ammonium hydroxide concentration of 1 %. Addition of megasonic energy to the ammonium hydroxide bath at 30 °C has also shown to improve the PRE significantly. In the case of thermal oxide, the removal of gold particles is much easier compared to that from fused silica. Even for cleaning at 30 °C, the PRE for oxide surface increases from 10 to 90 % with increase in ammonium hydroxide concentration from 0 % to 4 %. Atomic force microscopy measurements reveal that an adhesion force of 10 mN/m exists between fused silica and gold particles in 4 % ammonium hydroxide solution as opposed to only repulsive force in the case of thermal oxide. © (2012) Trans Tech Publications.
- Elguindi, J., Moffitt, S., Hasman, H., Andrade, C., Raghavan, S., & Rensing, C. (2011). Metallic copper corrosion rates, moisture content, and growth medium influence survival of copper ion-resistant bacteria. Applied Microbiology and Biotechnology, 89(6), 1963-1970.More infoPMID: 21085951;PMCID: PMC3991429;Abstract: The rapid killing of various bacteria in contact with metallic copper is thought to be influenced by the influx of copper ions into the cells, but the exact mechanism is not fully understood. This study showed that the kinetics of contact killing of copper surfaces depended greatly on the amount of moisture present, copper content of alloys, type of medium used, and type of bacteria. We examined antibiotic- and copper ion-resistant strains of Escherichia coli and Enterococcus faecium isolated from pig farms following the use of copper sulfate as feed supplement. The results showed rapid killing of both copper ion-resistant E. coli and E. faecium strains when samples in rich medium were spread in a thin, moist layer on copper alloys with 85% or greater copper content. E. coli strains were rapidly killed under dry conditions, while E. faecium strains were less affected. Electroplated copper surface corrosion rates were determined from electrochemical polarization tests using the Stern-Geary method and revealed decreased corrosion rates with benzotriazole and thermal oxide coating. Copper ion-resistant E. coli and E. faecium cells suspended in 0.8% NaCl showed prolonged survival rates on electroplated copper surfaces with benzotriazole coating and thermal oxide coating compared to surfaces without anti-corrosion treatment. Control of surface corrosion affected the level of copper ion influx into bacterial cells, which contributed directly to bacterial killing. © 2010 Springer-Verlag.
- Govindarajan, R., Keswani, M., & Raghavan, S. (2011). Investigation of galvanic corrosion characteristics between tantalum nitride and poly silicon in dilute hf solutions. ECS Transactions, 41(5), 15-21.More infoAbstract: Galvanic corrosion characteristics between poly-Si and tantalum nitride (TaN) (area ratio 1:1 and 1:4) have been investigated in very dilute HF solutions (0.01 to 0.05%) containing controlled levels of dissolved oxygen. The increase in exposed cathode (metal) area as well as aeration results in higher corrosion of poly-Si. In de-aerated HF solutions (less than 0.5 ppm of O 2), irrespective of the area ratio, there appears to be no silicon loss. Morphological changes on poly-Si due to galvanic corrosion have been characterized using Scanning Electron Microscopy. ©The Electrochemical Society.
- Govindarajan, R., Siddiqui, S., Keswani, M., Raghavan, S., Singh, D. R., & Chawla, N. (2011). Electrochemical mechanical removal of Ta films in dihydroxybenzene sulfonic acid solutions containing potassium iodate. Electrochemical and Solid-State Letters, 14(4), H156-H160.More infoAbstract: Removal of Ta films has been investigated in solutions containing 2,5 dihydroxy benzene sulfonic acid and potassium iodate (KIO3) under conditions that exist during electrochemical mechanical polishing. Specifically, the films were abraded at low pressures (∼0.5 psi) on a polyurethane pad under galvanostatic conditions. Variables such as pH, KIO3 concentration, and current density have been investigated to develop an optimized formulation. The nature of the oxide film formed on tantalum during the electrochemical abrasion process was investigated using x-ray photoelectron spectroscopy and nanoindentation techniques. © 2011 The Electrochemical Society.
- Keswani, M., Raghavan, S., & Deymier, P. (2011). Study of bubble activity in a megasonic field using an electrochemical technique. IEEE Transactions on Semiconductor Manufacturing, 24(4), 513-518.More infoAbstract: In the megasonic cleaning of wafers, size and motion of cavitating bubbles and fluid flow due to acoustic streaming play a very important role. In this paper, chronoamperometric technique has been used to seek information on acoustic streaming and bubble activity in a 1 MHz sound field. Specifically, current transients during reduction of potassium ferricyanide were recorded. Data collected at 1-6 MHz sampling rate using a 25 μm platinum electrode show current peaks indicative of the approach of oscillating bubbles to the electrode and current valleys due to blocking of the electrode by bubbles. Acoustic streaming velocity (∼ 1.5 cm/s) and bubble size (maximum radius of ∼ 1μ m for oscillating bubbles) have been estimated from local current transients caused by bubble activity near the electrode. © 2011 IEEE.
- Kumari, S., Keswani, M., Singh, S., Beck, M., Liebscher, E., Deymier, P., & Raghavan, S. (2011). Control of sonoluminescence signal in deionized water using carbon dioxide. Microelectronic Engineering, 88(12), 3437-3441.More infoAbstract: Megasonic cleaning is routinely employed in semiconductor industry for cleaning of wafers. However, the method also results in damage to wafer features and such damage has been proposed to arise from transient, imploding cavities formed during megasonic processing. Transient cavitation is associated with the release of light, a phenomenon called sonoluminescence (SL) and the extent of damage has been shown to correlate with the intensity of SL. Control of sonoluminescence may therefore allow control of damage during megasonic processing of wafers. In this study, the ability of carbon dioxide to quench sonoluminescence generation in deionized water exposed to megasonic field of varying power density and duty cycle has been systematically investigated. It has been found that CO2 is not only incapable but also a potent inhibitor of sonoluminescence, providing a potential means for selective alleviation of the violent effects of transient cavitation in process fluids. A novel chemical method has been established for in situ release of CO2 from NH4HCO3 through a pH induced shift in the carbonic acid equilibria in deionized water. Using this method, a precisely controlled, progressive decrease in SL of air saturated deionized water through addition of NH4HCO3 has been demonstrated. It has been determined that 130 ppm of released CO2 is sufficient for complete inhibition of sonoluminescence generated in air saturated deionized water. © 2009 Elsevier B.V. All rights reserved.
- Kumari, S., Keswani, M., Singh, S., Beck, M., Liebscher, E., Toan, L. Q., & Raghavan, S. (2011). Effect of dissolved CO 2 in de-ionized water in reducing wafer damage during megasonic cleaning in MegPie®. ECS Transactions, 41(5), 93-99.More infoAbstract: Particle removal from wafer surfaces can be accomplished by irradiation of cleaning fluid by sound waves in the MHz frequency range. Unfortunately, unless proper cleaning conditions are chosen, megasonic irradiation may also result in damage to fragile wafer features. Here, we demonstrate a strong effect of dissolved CO 2 levels on the reduction of wafer damage during megasonic cleaning. Test structures with L/S patterns were irradiated with 0.93 MHz sound waves at varying power densities and dissolved CO 2 levels, in a single wafer spin cleaning tool, MegPie®. Dissolution of increasing amounts of CO 2 in air saturated DI water caused a significant decrease in the number of breakages to line structures and also decreased the lengths of the line breakages, at all power densities up to 2.94 W/cm 2. This ability of dissolved CO 2 to protect against feature damage correlates well with its ability to suppress sonoluminescence in sound irradiated DI water. ©The Electrochemical Society.
- Raghavan, S., Keswani, M., & Venkataraman, N. (2011). Surface and Colloidal Chemical Aspects of Wet Cleaning. Handbook of Cleaning in Semiconductor Manufacturing: Fundamental and Applications, 3-37.More infoAbstract: Surface and colloidal chemicals aspects relevant to wet chemical cleaning and drying of semiconductor surfaces are reviewed. Specific areas discussed in this chapter include surface charging of metal oxide and nitride films, development of an electrical double layer, zeta potential of electrified interfaces and its effect on particulate contamination, adsorption of surfactants and metal ions on insulating surfaces, principles of surface tension gradient drying, and wetting and penetration of high aspect ratio features. © 2011 Scrivener Publishing LLC. All rights reserved.
- Thanu, D. P., Raghavan, S., & Keswani, M. (2011). Post plasma etch residue removal in dilute HF solutions. Journal of the Electrochemical Society, 158(8), H814-H820.More infoAbstract: Effectiveness of dilute HF solutions in selectively removing fluorine based plasma etch residue films (PER) with respect to copper has been investigated. PER films were generated by CF4/O2 etching of cresol novolak based photoresist films that were spin coated on copper. Scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS) studies were performed to investigate the PER removal using dilute HF solutions (0.05-0.2 vol. ) and the removal was further confirmed using electrochemical impedance spectroscopy. PER removal rate of ∼60 /min was obtained using 0.2 vol HF (pH 2.8). Higher selectivity of PER/Cu was achieved under deaerated conditions compared to aerated conditions. © 2011 The Electrochemical Society.
- Thanu, D. P., Raghavan, S., & Keswani, M. (2011). Use of urea-choline chloride eutectic solvent for back end of line cleaning applications. Electrochemical and Solid-State Letters, 14(9), H358-H361.More infoAbstract: A liquid mixture formed from two benign chemicals, urea and choline chloride, in the molar ratio of 2:1 has been evaluated for post etch residue (PER) removal from copper surface. Residue films were prepared by etching DUV photoresist films coated on copper in CF4/O2 plasma. Film removal was evaluated under immersion cleaning conditions using X-ray photoelectron spectroscopy and scanning electron microscopy and verified using electrochemical impedance spectroscopy measurements. The residue film was effectively removed in the temperature range of 40-70C. The results of this study show that choline chloride and urea based DES system has the potential to function as a back end of line cleaning formulation. © 2011 The Electrochemical Society.
- Price, J., Barnett, J., Raghavan, S., Keswani, M., & Govindarajan, R. (2010). A study of the interaction of gallium arsenide with wet chemical formulations using thermodynamic calculations and spectroscopic ellipsometry. Microelectronic Engineering, 87(9), 1661-1664.More infoAbstract: This paper investigates the effectiveness of different wet chemical treatments and their ability to produce/regrow a thin, stable surface oxide layer on GaAs. Results from thermodynamic considerations indicate that a stable surface oxide layer, free of excess arsenic and arsenic oxides, can be achieved by properly choosing aqueous solutions targeted within the GaAs solubility range (pH < 3 or pH > 11) followed by deionized (DI) water rinsing. This is further corroborated by spectroscopic ellipsometric data that can qualitatively, but correctly, identify the thickness of the surface oxide layer after different wet chemical treatments. Specifically, samples treated with acidic solutions based on HCl, HF, and H3PO4 and diluted ammonium hydroxide solution produce a more stable surface layer that is thinner than the native oxide layer on GaAs. The results and subsequent discussion are presented in the context of an attempt at achieving a well passivated GaAs surface, free of excessive surface state defects responsible for Fermi-level pinning. © 2009 Elsevier B.V. All rights reserved.
- Raghavan, S., Tanha, M., Beck, C. J., Figueredo, A. J., & Raghavan, S. -. (2010). Sex differences in intimate partner violence and the use of coercive control as a motivational factor for intimate partner violence. Journal of interpersonal violence, 25(10).More infoResearch argues that coercive control (CC) is a special case of intimate partner violence (IPV). The present study hypothesized that instead CC is the motivator for other types of IPV, with control of the victim as the goal. When CC fails, physical types of IPV are used. This hypothesized relationship was tested using a large matched sample of 762 divorcing couples participating in divorce mediation. Structural equation modeling was used to analyze the data with CC predicting two latent common factors of the overall level of victimization separately for men and women. Significant causal relationships between CC and the latent construct of victimization for both members of the couples were found. In addition, CC, psychological abuse, sexual assault/intimidation/coercion, threats of and severe physical violence were disproportionately reported as perpetrated by men against women whereas reports of physical abuse (e.g., pushing, shoving, scratching) were not.
- Venkataraman, N., & Raghavan, S. (2010). Dissolution and electrochemical impedance spectroscopy studies of thin copper oxide films on copper in semi-aqueous fluoride solutions. Microelectronic Engineering, 87(9), 1689-1695.More infoAbstract: The selective dissolution of thin copper oxide films grown on copper in semi-aqueous formulations containing dimethyl sulfoxide (DMSO), ammonium fluoride (NH4F) and water was studied. Optimization of the formulations was carried out by systematic evaluation of the effect of solvent content and pH on the removal rates of copper oxide films and selectivity towards copper and carbon doped oxide (CDO) low k dielectric film. Copper oxide removal rate of ∼180 /min with a selectivity of ∼130:1 towards copper and ∼20:1 selectivity towards CDO was obtained in a formulation containing 29% DMSO, 1% NH4F and 70% H2O at pH 4. Electrochemical impedance spectroscopy studies were performed on this system and the data were analyzed to characterize the copper oxide/electrolyte interface with the ultimate objective of developing an end point detection technique for copper oxide removal. © 2009 Elsevier B.V. All rights reserved.
- Govindarajan, R., Venkataraman, N., & Raghavan, S. (2009). Effect of KIO3 on electrochemical mechanical removal of Ta/TaN films. ECS Transactions, 19(7), 31-38.More infoAbstract: This paper reports results obtained from the polishing of Ta and TaN films at low pressures (∼0.5psi) in solutions containing 2,5 dihydroxy benzene sulfonic acid (DBSA) as a tantalum complexant, potassium iodate (KIO 3) as an oxidant and a small amount of silica particles (∼0.1wt%), under galvanostatic conditions. Variables such as pH, KIO 3 concentration and current density have been investigated to develop an optimized formulation. Solution containing 0.1M DBSA, 0.05M KIO3 and 0.1% silica particles removes tantalum and tantalum nitride at the rates of ∼170Å/min and ∼200Å/min, respectively at 1mA/cm2 current density. Under the same conditions, Ta/Cu selectivity of ∼0.8:1 and TaN/Cu selectivity of ∼0.9:1 were achieved. ©The Electrochemical Society.
- Keswani, M., Raghavan, S., Deymier, P., & Verhaverbeke, S. (2009). Megasonic cleaning of wafers in electrolyte solutions: Possible role of electro-acoustic and cavitation effects. Microelectronic Engineering, 86(2), 132-139.More infoAbstract: Investigations have been conducted on the feasibility of removal of particles from silicon wafers in electrolyte solutions of different ionic strengths irradiated with megasonic waves. Cleaning experiments have been performed using potassium chloride (KCl) as a model electrolyte and silica particles as model contaminant particles. Particle removal efficiency (PRE) increases with KCl concentration and transducer power density and much lower power densities may be used at higher KCl concentration for a comparable level of cleaning. Enhanced cleaning in KCl solutions has been explained as due to two types of electro-acoustic potentials, namely, ionic vibration potential (IVP) and colloidal vibration potential (CVP) that arise when the sound wave propagates through the electrolyte solution. Theoretical computations have shown that the removal forces due to CVP are much larger in magnitude than those due to IVP and are comparable to van der Waals adhesion forces. The effect of ionic strength on cavitation has been investigated through the measurement of acoustic pressure in solutions using a hydrophone. Using Fourier transformation of time dependent pressure data, the size distribution of stable bubbles in KCl solutions of different concentration has been obtained. © 2008 Elsevier B.V. All rights reserved.
- Kumari, S., Keswani, M., Beck, M., Liebscher, E., Liang, T., Deymier, P., & Raghavan, S. (2009). Megasonic metrology for enhanced process development. ECS Transactions, 25(5), 295-302.More infoAbstract: Acoustic cavitation is known to be a primary source of both cleaning and damage of wafers during their megasonic processing. Understanding the response of process fluids to variables like acoustic power recipe and dissolved gases is an important first step in achieving damage-free megasonic cleaning of wafers. This paper reports the development of a portable, UV light tight, cavitation threshold (CT) cell to measure sonoluminescence (SL) signal arising from cavitation. The closed cell, integrated with a gas sensor and contactor, allows SL measurements under very controlled conditions. Using the CT cell the effect of the concentration of dissolved O2, CO2 and air on SL signal has been investigated. Results show that SL varies linearly with dissolved O2 concentration while CO2 is found to be incapable of supporting SL. This study also demonstrates a novel method for precise control of SL through addition of an O2 scavenger with fast O2 removal kinetics.
- Muthukumaran, A., Venkataraman, N., Tamilmani, S., & Raghavan, S. (2009). Anodic dissolution of copper in dilute hydroxylamine solutions: application to electrochemical mechanical planarisation of copper. Corrosion Engineering Science and Technology, 44(2), 101-107.More infoAbstract: The effect of anodic polarisation on dissolution of copper in hydroxylamine based chemical systems has been studied using a quartz crystal microbalance technique to evaluate their use in electrochemical mechanical planarisation processes. Copper dissolution in hydroxylamine solution increases with respect to overpotential η and dissolution rates as high as 600 nm min-1 have been obtained at an overpotential of 750 mV. The effectiveness of benzotriazole (BTA) in inhibiting copper dissolution in these chemistries was also tested. It was found that BTA is effective in inhibiting copper dissolution only at lower overpotentials (≤250 mV). © 2009 Institute of Materials.
- Thanu, D. P., Venkataraman, N., Raghavan, S., & Mahdavi, O. (2009). Dilute HF solutions for copper cleaning during BEOL processes: Effect of aeration on selectivity and copper corrosion. ECS Transactions, 25(5), 109-116.More infoAbstract: Effectiveness of dilute HF based formulations in selectively removing copper oxide (CuOx) films with respect to copper and dielectric films has been investigated. Key solution variables studied include HF concentration, dissolved oxygen level and pH. Improved CuOx/Cu selectivity has been achieved under deaerated conditions. Deaerated solution containing 1000:1 HF (pH3) provides CuOx/Cu selectivity of ∼50:1 and CuO x/low-k dielectric (Carbon Doped Oxide) selectivity of ∼15:1. Conditions under which copper can be passivated during cleaning in dilute HF have been explored using electrochemical polarization studies and Electrochemical Quartz Crystal Microbalance (EQCM).
- Balasubramanian, S., & Raghavan, S. (2008). Wet etching of heat treated atomic layer chemical vapor deposited zirconium oxide in HF based solutions. Japanese Journal of Applied Physics, 47(6 PART 1), 4502-4504.More infoAbstract: Alternative materials are being considered to replace silicon dioxide as gate dielectric material. Of these, the oxides of hafnium and zirconium show the most promise. However, integrating these new high-k materials into the existing complementary metal-oxide-semiconductor (CMOS) process remains a challenge. One particular area of concern is the wet etching of heat treated high-k dielectrics. In this paper, work done on the wet etching of heat treated atomic layer chemical vapor deposited (ALCVD) zirconium oxide in HF based solutions is presented. It was found that heat treated material, while refractory to wet etching at room temperature, is more amenable to etching at higher temperatures when methane sulfonic acid is added to dilute HF solutions. Selectivity over SiO2 is still a concern. © 2008 The Japan Society of Applied Physics.
- Muthukumaran, A., Venkataraman, N., & Raghavan, S. (2008). Evaluation of sulfonic acid-based solutions for electrochemical mechanical removal of tantalum. Journal of the Electrochemical Society, 155(3), H184-H187.More infoAbstract: A fundamental study was undertaken to evaluate the usefulness of a sulfonic acid-based chemical system for the removal of tantalum under electrochemical mechanical planarization conditions. Tantalum as well as copper samples were polished at low pressures (∼0.5 psi) under galvanostatic conditions in dihydroxy benzene sulfonic acid (DBSA) solutions maintained at different pH values. At a current density of 0.5 mA cm2 and pH 10, tantalum removal rate of ∼200 Åmin with a 1:1 selectivity to copper has been obtained in 0.3 M DBSA solutions containing 1.2 M H2 O2. The presence of a small amount (∼0.1%) of colloidal silica particles is required to obtain good removal rates. © 2008 The Electrochemical Society.
- Muthukumaran, A., Venkataraman, N., Raghavan, S., & Keswani, M. (2008). Opportunities and challenges in electrochemical-mechanical planarization (ECMP). Proceedings - Electrochemical Society, PV 2008-1, 511-517.More infoAbstract: Electrochemical-mechanical planarization is challenging conventional CMP for use in the planarization of certain metallic films, especially copper. Because of the complex interplay between electrochemical and mechanical factors, and the evolution of newer metals such as ruthenium as barrier materials, the ECMP field is wide open for research in the area of consumables. In this paper, recent work in the area of ECMP of copper and tantalum is reviewed, with special reference to chemical formulations. Opportunities in ECMP of ruthenium are highlighted through a discussion of its chemistry and electrochemistry.
- Valenzuela, K., Raghavan, S., Deymier, P. A., & Hoying, J. (2008). Formation of copper nanowires by electroless deposition using microtubules as templates. Journal of Nanoscience and Nanotechnology, 8(7), 3416-3421.More infoPMID: 19051888;Abstract: Microtubules (MTs) are self-assembling, protein-based, tubular structures several micrometers long with outer and inner diameters of 25 nm and 15 nm, respectively. This aspect ratio makes MTs ideal templates for producing nanowires for applications such as electrical nano-interconnects. MTs are poorly conductive and their use as interconnects necessitates their metallization. We report a process for metallization of MTs with copper using a biologically benign electroless deposition chemistry consisting of copper sulfate solution containing acetic acid as a complexant and ascorbic acid as reducing agent. The pH of the plating bath is controlled such that copper metallization occurs without disassembling the MTs. Electron microscopic characterization of the morphology and dimensions of the copper nanowires shows that metallization for approximately 1 minute produces a uniform nanowire with an average diameter of approximately 15 nm, suggesting that metallization is initiated selectively from the MT inner core. Copyright © 2008 American Scientific Publishers All rights reserved.
- Muralidharan, K., Keswani, M., Shende, H., Deymier, P., Raghavan, S., Eschbach, F., & Sengupta, A. (2007). Experimental and simulation investigations of acoustic cavitation in megasonic cleaning. Proceedings of SPIE - The International Society for Optical Engineering, 6517(PART 1).More infoAbstract: Extreme ultra-violet (EUV) lithography has become the technique of choice to print the ever-shrinking nanoscale features on the silicon wafer. For successful transfer of patterns on to the wafer, the EUV photomask cannot contain defects greater than 30 nm. Megasonic cleaning is a very successful cleaning technique for removal of particles on photomasks, but also causes a relatively high amount of damage to the fragile EUV photomasks thin film structures. Though it is believed that acoustic cavitation is the primary phenomenon responsible for cleaning as well as pattern damage, a fundamental picture of the acoustic cavitation mechanisms in play during megasonic cleaning has not yet clearly emerged. In this study, we characterize the role of acoustic cavitation in megasonic cleaning by examining the effects of acoustic power densities, cleaning solution properties, and dissolved gas content on cavitation via experiments and molecular dynamics (MD) simulations. MD is an atomistic computation technique capable of modeling atomic-level and nanoscale processes accurately making it well suited to study the effect of cavitation on nano-sized particles and patterns.
- Muthukumaran, A., Venkataraman, N., Tamilmani, S., & Raghavan, S. (2007). Anodic dissolution of copper in hydroxylamine based solutions with special reference to electrochemical mechanical planarization (ECMP). 47th Annual Conference of the Australasian Corrosion Association 2007: Corrosion Control 2007, 49-56.More infoAbstract: Hydroxylamine, a weak inorganic amine, etches copper and is considered for use in the planarization (removal of topography) of copper films during the manufacturing of integrated circuits. This paper reports and discusses results obtained from a study on the dissolution behaviour of copper in hydroxylamine solutions at different applied anodic potential values using Quartz Crystal Microbalance (QCM) technique. The dissolution rate of copper in hydroxylamine solution is pH dependant and exhibits a maximum in the vicinity of pH 6. Copper dissolution increases with applied overpotential (η) and dissolution rates as high as 6000 å min- 1 can be obtained at overpotential of 750mV. While both benzotriazole (BTA) and salicylhydroxamic acid (SHA) serve as good inhibitors at lower overpotentials, their effectiveness decreases at higher overpotentials.
- Pandit, V., Keswani, M., Raghavan, S., Muralidharan, K., Deymier, P., Eshbach, F., Sengupta, A., & Yun, H. (2007). Removal of gold particles from chromium oxynitride surface with dilute sulfuric acid solutions. ECS Transactions, 11(2), 471-478.More infoAbstract: Removal of gold particles (100 nm) from chromium oxynitride surface (CrON) has been investigated using dilute sulfuric acid solutions. Two types of gold nano-particles, one with a negative surface charge and the other with a positive charge achieved by adsorption of poly diallyl-dimethyl ammonium chloride (PDAC), were used. Particle removal efficiency (PRE) increases with sulfuric acid concentration and bath temperature. In 1:10 H2SO4:DI solution, increasing the bath temperature from 25 to 100°C increases the PRE from 21 to 99 %. Introduction of megasonic energy at 0.43 W/cm2 in a 1:10 H2SO4:DI bath at 35°C increases the PRE to 88 %. PDAC coated gold particles are difficult to remove as compared to uncoated particles due to their electrostatic interaction with the surface leading to stronger adhesion. ©The Electrochemical Society.
- Raghavan, S., Small, R., & Lowalekar, V. (2007). Back-End-of-Line Cleaning. Handbook for Cleaning/Decontamination of Surfaces, 1, 459-483.More infoAbstract: Back-end-of-line (BEOL) cleaning typically refers to the removal of residues generated during the gas phase (plasma) etching of dielectric and metal films and, in certain cases, the remaining photoresist. In BEOL cleaning, the presence of metal layers precludes the use of aggressive chemicals such as concentrated sulfuric acid peroxide (piranha), ammonium hydroxide-hydrogen peroxide (SC1), and hydrochloric acid-hydrogen peroxide (SC2) used in front-end-of-line (FEOL) cleaning. This chapter reviews the evolution of strippers followed by a discussion of chemical systems relevant to BEOL cleaning formulations. Some of the corrosion issues germane to Al and Cu are highlighted. Cleaning of wafers after chemical mechanical planarization (CMP) has become an important part of the BEOL processes. The diversity in the type of reactive gases and process parameters used in plasma etching yields residues whose compositions vary widely. In spite of this challenge, BEOL cleaning of aluminum- and copper-based structures is currently feasible with semi-aqueous fluoride formulations. Environmental concerns of solvents used in formulations have stirred considerable interest in all-aqueous formulations, which have to be tailored to be compatible with porous hydrophobic dielectric layers that are to be introduced in the very near future. © 2007 Elsevier B.V. All rights reserved.
- Umnov, M., Palusinski, O. A., Deymier, P. A., Guzman, R., Hoying, J., Barnaby, H., Yang, Y., & Raghavan, S. (2007). Experimental evaluation of electrical conductivity of microtubules. Journal of Materials Science, 42(1), 373-378.More infoAbstract: Microtubules (MTs) are self-assembled proteinaceous filaments with nanometer scale diameters and micrometer scale lengths. Through conductivity measurements in microchannels we shed some light on electrical properties of microtubules. Measuring electrical resistance we were able to detect the dynamic disassembly of MTs and determine an upper limit for the electrical conductivity of MT. The measurements yielded the value of 90 Ω-1 m -1 as the upper limit for the conductivity of MTs, which is in the order of conductivity observed in inorganic intrinsic semiconductors. © Springer Science+Business Media, LLC 2007.
- Venkataraman, N., Muthukumaran, A. K., & Raghavan, S. (2007). Evaluation of copper oxide to copper selectivity of chemical systems for BEOL cleaning through electrochemical investigations. Materials Research Society Symposium Proceedings, 990, 197-202.More infoAbstract: Back End of Line (BEOL) cleaning of copper based structures requires chemical formulations that can remove copper oxide selectively without corroding copper and etching the dielectric. Many commercially available semi-aqueous and all aqueous formulations claim to meet these criteria. These include semi-aqueous fluoride strippers (SAF) and all- aqueous ammonium phosphate based chemical systems. This paper will report the results from a fundamental study undertaken to evaluate the performance of a semi-aqueous fluoride formulation in removing copper oxide films of controlled thickness grown on copper. The thickness and composition of the oxide films were determined electrochemically using cathodic reduction technique. Electrochemical Impedance spectra of samples immersed in the formulation have been measured as a function of time to follow copper oxide dissolution and the data have been analyzed to detect the transition of copper oxide to copper. © 2007 Materials Research Society.
- Kapila, V., Deymier, P. A., Shende, H., Pandit, V., Raghavan, S., & Eschbach, F. O. (2006). Megasonic cleaning, cavitation, and substrate damage: An atomistic approach. Proceedings of SPIE - The International Society for Optical Engineering, 6283 II.More infoAbstract: Megasonic cleaning has been a traditional approach for the cleaning of photomasks. Its feasibility as a damage free approach to sub 50 nm particulate removal is under investigation for the cleaning of optical and EUV photomasks. Two major mechanisms are active in a megasonic system, namely, acoustic streaming and acoustic cavitation. Acoustic streaming is instrumental in contaminant removal via application of drag force and rolling of particles, while cavitation may dislodge particles by the release of large energy during cavity implosion or by acting as a secondary source of microstreaming. Often times, the structures (substrates with or without patterns) subjected to megasonic cleaning show evidence of damage. This is one of the impediments in the implementation of megasonic technology for 45 nm and future technology nodes. Prior work suggests that acoustic streaming does not lead to sufficiently strong forces to cause damage to the substrates or patterns. However, current knowledge of the effects of cavitation on cleaning and damage can be described, at best, as speculative. Recent experiments suggest existence of a cavity size and energy distributions in megasonic systems that may be responsible for cleaning and damage. In the current work, we develop a two-dimensional atomistic model to study such multibubble cavitation phenomena. The model consists of a Lennard-Jones liquid which is subjected to sinusoidal pressure changes leading to the formation of cavitation bubbles. The current work reports on the effects of pressure amplitude (megasonic power) and frequency on cavity size distributions in vaporous and gaseous cavitation. The findings of the work highlight the role of multibubble cavitation as cleaning and damage mechanism in megasonic cleaning.
- Kin, K., Tang, H., Chan, S., Raghavan, S., & Martinez, S. (2006). Treatment of chemical-mechanical planarization wastes by electrocoagulation/electro-fenton method. IEEE Transactions on Semiconductor Manufacturing, 19(2), 208-215.More infoAbstract: A method for the treatment of chemical-mechanical planaarization wastewater that utilizes the principles of electrocoagulation (EC) and electro-Fenton (EF) reactions has been investigated. The method consists of subjecting the wastewater to an applied electric field between two iron electrodes, in the presence of hydrogen peroxide. Dissolved iron is responsible for electrocoagulation, while the hydroxyl radicals produced through the reaction of dissolved iron species with hydrogen peroxide destroy dissolved organics. Over 95% of fine particles can be removed after sedimentation following exposure to electric field, and the turbidity of the clarified solution can be reduced to very low values (0.3 NTU). © 2006 IEEE.
- Kondoju, S., Juncker, C., Lucas, P., Raghavan, S., Fischer, P., Oehler, A., & Moinpour, M. (2006). Applications of Raman spectroscopy in copper chemical mechanical planarization: In situ detection of tantalum layer to dielectric layer transition. Journal of Applied Physics, 100(1).More infoAbstract: In metal chemical mechanical planarization, in situ detection of barrier to dielectric layer transition is typically done using reflectivity measurements. Introduction of carbon containing low-dielectric constant (k) materials, commonly known as carbon doped oxides (CDOs), as dielectric layers has opened up the possibility of using spectroscopic techniques for detecting such transition. The vibrational frequencies of the bonds between C, H, O, and Si in these low-fc materials may be readily detected by spectroscopic techniques such as Raman and infrared spectroscopies. In this work, the use of Raman spectroscopy in detecting the transition from Ta layer to a CDO layer has been explored. An abrasion cell integrated with a Raman spectrometer was used to make the measurements. The sensitivity of the Raman technique is compared with that of the conventional reflectivity technique. © 2006 American Institute of Physics.
- Kondoju, S., Juncker, C., Lucas, P., Raghavan, S., Fischer, P., Oehler, A., & Moinpour, M. (2006). Endpoint detection in Cu-CMP detection of Ta layer to low-k layer transition using fluorescence. Journal of the Electrochemical Society, 153(9), G830-G833.More infoAbstract: Introduction of low-dielectric constant (k) materials, replacing silicon dioxide, has reduced the time delay (RC delay) and enhanced the performance of integrated circuits (IC) significantly. The two most common ways of depositing these low-k materials are spin-on and chemical vapor deposition. Chemical-vapor-deposited low-k materials use an organic precursor consisting of carbon, hydrogen, and oxygen as the main constituents. Some of these precursors yield a significantly large fluorescence signal when irradiated with an appropriate laser. This signal can be successfully utilized to detect the transition from the barrier layer to dielectric layer in chemical mechanical polishing, which is now typically done using reflectivity measurements in the industry. In this work, sensitivity of the fluorescence technique in detecting the transition is demonstrated and compared with the conventional reflectivity method. An abrasion cell integrated with a spectrometer was used to make the measurements. Capabilities and limitations of the fluorescence techniques are discussed. © 2006 The Electrochemical Society. All rights reserved.
- Kondoju, S., Lucas, P., Raghavan, S., Fischer, P., Moinpour, M., & Oehler, A. (2006). Applications of Raman spectroscopy in Cu CMP: In-situ detection of chemical species in the slurry. Materials Research Society Symposium Proceedings, 914, 199-206.More infoAbstract: Slurries used for copper CMP have a rich chemistry, which may change during the course of polishing due to consumption and decomposition of molecular species. Various aspects, such as small layer thickness (
- Lowalekar, V., Raghavan, S., Pandit, V., Parks, H. G., & Jeon, J. (2006). Contamination of silicon dioxide films by aqueous zirconium and hafnium species. Journal of Applied Physics, 99(2).More infoAbstract: Zirconium and hafnium oxides and silicates have emerged as potential replacements for Si O2 as gate dielectric material. Patterning of these materials by wet etching in fabrication areas originally designed for Si O2 gates may give rise to contamination of Si O2 by aqueous zirconium and hafnium species. This paper summarizes the work carried out to characterize the adsorption behavior of aqueous zirconium and hafnium species onto thermally grown silicon dioxide. Electrokinetic and adsorption measurements were carried out to understand the extent and nature of interaction. The adsorption of both Zr and Hf species showed a maximum at pH 5.5. Significant reduction in the adsorption of both Zr and Hf occurred upon addition of fluoride ions to the solution. Using appropriate speciation diagrams, an adsorption model has been developed to explain the experimental data. © 2006 American Institute of Physics.
- Muthukumaran, A., Lowalekar, V., & Raghavan, S. (2006). Evaluation of inhibitors for ECMP of copper using Electrochemical Quartz Crystal Microbalance (EQCM) technique. Materials Research Society Symposium Proceedings, 914, 231-236.More infoAbstract: Chemical formulations for the electrochemical mechanical planarization (ECMP) of copper must contain constituents that are stable at anodic potentials. A key component of the formulation is a corrosion inhibitor, which is required to protect low lying areas while higher areas are selectively removed. Organic compounds, which adsorb on copper at low overpotentials and form a film by oxidation at higher overpotentials, may be particularly useful for ECMP. The objective of this work is to evaluate the effect of two inhibitors on copper dissolution in oxalic acid based systems using an electrochemical quartz crystal microbalance (EQCM) technique. By recording current as well as mass changes during the application of potential to electrodeposited copper films, the extent and mechanism of inhibition of sulfhydryl-based acid (SB A) inhibitor has been explored. © 2006 Materials Research Society.
- Muthukumaran, A., Venkataraman, N., Lowalekar, V., & Raghavan, S. (2006). Sulfonic acid based solutions for electrochemical mechanical removal of tantalum. Semiconductor Technology, ISTC2007 - Proceedings of the 6th International Conference on Semiconductor Technology, 282-289.More infoAbstract: Electrochemical mechanical planarization (ECMP) is being actively explored for removal and planarization of copper films. Development of a full sequence ECMP process would require the removal of the barrier layer as well. Chemical systems that exhibit a 1:1 selectivity between the barrier layer and copper would be ideal for the barrier removal step of ECMP. A fundamental study was undertaken to evaluate the usefulness of a sulfonic acid based chemical system for the removal of tantalum under ECMP conditions. Copper and tantalum samples were polished at low pressures (∼0.5 psi) under galvanostatic conditions in sulfonic acid based solutions maintained at different pH values. At a current density of 0.25 mA/cm 2 and pH of 10, tantalum removal rate of ∼100 Å/min with a 1:1 selectivity to copper has been obtained in 0.3M sulfonic acid solutions. The presence of a small amount (∼ 0.1%) of colloidal silica particles is required to obtain good removal rates.
- Pandit, V., Parks, H. G., Vermeire, B., & Raghavan, S. (2006). Wet cleaning of cross-contamination of high-k dielectrics in plasma etch tool. Journal of the Electrochemical Society, 153(11), G970-G975.More infoAbstract: Direct tunneling through the gate SiO2 (εr ≈4) has become a serious concern for metal-oxide semiconductor field effect transistor scaling. The semiconductor industry is focusing on dielectrics with high relative dielectric constants (εr 10) to replace SiO2 gate oxides. Among the potential high- k materials, oxides and silicates of hafnium (Hf) have shown the most promise. The possibility of process cross-contamination by integrating these materials into silicon processes is a major concern for integrated circuit manufacturers. Cross-contamination of Hf in a plasma etch tool has been investigated. These studies confirm that significant cross-contamination occurs when HfO2 is etched in a chloro-fluoro-carbon plasma etch system. None of the standard cleaning processes commonly used in the semiconductor industry (such as SC1 and SC2) completely remove the contamination; however, dilute hydrofluoric acid, hydrofluoric acid-hydrogen peroxide water mixture, and SC1 cleans removed contamination below the concentration thresholds for oxide degradation and close to the total reflection X-ray fluorescence detection limit. © 2006 The Electrochemical Society.
- Tamilmani, S., Huang, W., & Raghavan, S. (2006). Galvanic corrosion between copper and tantalum under CMP conditions. Journal of the Electrochemical Society, 153(4), F53-F59.More infoAbstract: Chemical mechanical planarization (CMP) has emerged as the most viable method to planarize copper thin films during fabrication of integrated circuits. The final stage of copper CMP requires the simultaneous polishing of copper and the barrier metal, where the metals are prone to galvanic corrosion due to exposure to slurry. In this study, the extent of galvanic corrosion between copper and tantalum was estimated using electrochemical polarization measurements. A novel setup was designed to make direct measurement of the galvanic current between copper and tantalum and was successfully used to measure galvanic current in two different chemical systems. Galvanic corrosion current values obtained from polarization and direct measurements are compared and their implications during barrier polishing are discussed. © 2006 The Electrochemical Society. All rights reserved.
- Banerjee, S., Borade, R., Sato, M., Hirae, S., Cross, P., & Raghavan, S. (2005). High dose implant strip in FEOL IC manufacturing using a combination of cryogenic and wet cleaning techniques. ECS Transactions, 1(3), 111-118.More infoAbstract: This paper describes an unique combination of dry and wet cleaning techniques for removing ion implanted photoresist following source/drain implantation step in front end of line (FEOL) integrated device manufacturing process. The dry cleaning comprises of CO2 cryogenic aerosol. The inherent non-oxidizing and non-etching characteristics of the cryogenic aerosol technique, overcomes the current problems with oxidizing plasma process for nigh dose implanted resist strip (HDIS). The paper shows resist removal data from blanket ion implanted photoresist as well as from patterned wafers without damage to the poly lines. The blanket wafer cleaning performance has been characterized using analytical techniques such as EDX, XPS and particle scans with SP1. The patterned wafer cleaning efficiency without damage to the sensitive poly lines is determined using optical microscope, SEM, and KLA patterned wafer inspection system. © 2005 The Electrochemical Society.
- Kapila, V., Deymier, P. A., Shende, H., Pandit, V., Raghavan, S., & Eschbach, F. O. (2005). Acoustic streaming effects in megasonic cleaning of EUV photomasks: A continuum model. Proceedings of SPIE - The International Society for Optical Engineering, 5992(2).More infoAbstract: Removal of nano-scale contaminant particles from the photomasks is of critical importance to the implementation of EUV lithography for 32nm node. Megasonic cleaning has traditionally been used for photomask cleaning and extensions to sub 50nm participates removal is being considered as a pattern damage free cleaning approach. Several mechanisms for removal are believed to be active in megasonic cleaning systems, e.g., cavitation, and acoustic streaming (Eckart, Schlichting, and microstreaming). It is often difficult to separate the effects of these individual mechanisms on contamination removal in a conventional experimental setup. Therefore, a theoretical approach is undertaken in this work with a focus on determining the contribution of acoustic streaming in cleaning process. A continuum model is used to describe the interaction between megasonic waves and a substrate (fused silica) immersed in a fluid (water). The model accounts for the viscous nature of the fluid. We calculate the acoustic vibrational modes of the system. These in turn are used to determine the acoustic streaming forces that lead to Schlichting streaming in a narrow acoustic boundary layer at the substrate/fluid interface. These forces are subsequently used to estimate the streaming velocities that may in turn apply a pressure and drag force on the contaminant particles adhering to the substrate. These effects are calculated as a function of angle of incidence, frequency and intensity of the megasonic wave. The relevance of this study is then discussed in the context of the cleaning efficiency and pattern damage in competing megasonic cleaning technologies, such as immersion, and nozzle-based systems.
- Kondoju, S., Juncker, C., Lucas, P., Raghavan, S., Fischer, P., Moinpour, M., & Oehler, A. (2005). Feasibility of detecting barrier layer to low-k transition in copper CMP using Raman spectroscopy. Materials Research Society Symposium Proceedings, 867, 175-181.More infoAbstract: In copper CMP, transitions from copper to barrier as well as barrier to dielectric layer are typically sensed in situ using an optical reflectance technique. Spectroscopic techniques such as Raman, which allow monitoring the vibrational modes of silicon and low-k layers, have interesting potential for detecting these transitions. In this paper the use of Raman spectroscopy in detecting in situ removal of barrier layers from CDO materials is reported. Intensities of Raman peaks characteristic of Si-Si vibrations from Si substrate and C-H vibrations from low-k materials have been used for monitoring CDO layer thickness and detecting removal of Ta overlayer. An abrasion cell is integrated with a Raman spectrometer to demonstrate the feasibility of Raman monitoring in-situ. Capabilities and limitations of the Raman spectroscopic method are discussed. © 2005 Materials Research Society.
- Lowalekar, V., & Raghavan, S. (2005). Effect of hydrogen peroxide on hydrofluoric acid etching of high-k materials: ESR investigations. Journal of Non-Crystalline Solids, 351(18), 1559-1564.More infoAbstract: Oxides and silicates of zirconium and hafnium are being actively considered for use as gate dielectrics in MOS devices. Because of their higher dielectric constant (k ∼ 16-22), they permit the use of thicker layers without sacrificing the capacitance value. Wet chemical etching is the method of choice for patterning these oxides. The etching process has to be selective to zirconium/hafnium oxides over silicon dioxide, which may be present in other areas. In this paper, work done on HF etching of ZrO2 and HfO 2 in presence of hydrogen peroxide is presented and discussed. It was found that addition of hydrogen peroxide to HF solutions lower the etch rate of ZrO2 and HfO2 films. Electron spin resonance (ESR) spectroscopy was used to probe the reasons for the decrease in etch rates in this solution. © 2005 Elsevier B.V. All rights reserved.
- Pandit, V., Parks, H. G., Vermeire, B., & Raghavan, S. (2005). Cleaning of cross-contamination of ihgh-k dielectrics in plasma etch tool. ECS Transactions, 1(3), 59-66.More infoAbstract: Direct tunneling (1, 2) through the gate SiO2 (εr ≈4) has become a serious concern for MOSFET scaling. The semiconductor industry is focusing on materials with high dielectric constants (ε≥ 10) to replace SiO2 gate oxides. Among the potential high-K materials, oxides and silicates of Hafnium (Hf) have shown the most promise (3). The potential of cross-contamination of Hf in a plasma etch tool was previously investigated (7). This paper extends the study and discusses the results of standard cleaning processes (SCI, SC2 etc.) on the cross-contaminated wafers. None of the standard cleaning solutions completely remove the contamination. © 2005 The Electrochemical Society.
- Tamilmani, S., Lowalekar, V., Raghavan, S., & Small, R. (2005). Dissolution characteristics of ceria in ascorbic acid solutions with implications to cleaning. Diffusion and Defect Data Pt.B: Solid State Phenomena, 103-104, 283-286.More infoAbstract: The interaction of ascorbic acid with ceria as well as with hydrogen peroxide produces ascorbyl radicals by oxidation. Ascorbic acid solutions containing peroxide can provide a dissolution rate of 0.1 Å/min. Such solutions have potential to be used in post-CMP clean applications.
- Yang, Y., Guzman, R., Deymier, P. A., Umnov, M., Hoying, J., Raghavan, S., Palusinski, O., & Zelinski, B. J. (2005). Adsorption of a microtubule on a charged surface affects its disassembly dynamics. Journal of Nanoscience and Nanotechnology, 5(12), 2050-2056.More infoPMID: 16430139;Abstract: The dynamics of disassembly of microtubules deposited on surfaces is shown to be strongly dependent on the electrostatic interaction between the microtubule and the substrate. Fluorescence microscopy of microtubules adsorbed on a Poly-L-Lysine film and immersed in pure water show a drastic decrease in disassembly velocity compared to the microtubules in bulk water solutions. While microtubules suspended in pure water disassemble in seconds, the dissociation velocity of microtubules adsorbed on a Poly-L-Lysine film ranges from 0.8 to 1.0 μm/min in pure water. Kinetic Monte Carlo simulations of the microtubule dynamics indicate that a decrease in the dissociation velocity of unstable microtubules can be achieved by reducing the heterodimer dissociation rate constant of tubulin heterodimers constituting a single protofilament, adsorbed to the Poly-L-Lysine film. This model suggests that the reduction of the dissociation velocity originates from the electrostatic interactions between the positively charged amino groups of the Poly-L-Lysine film and the negatively charged microtubule surface. Copyright © 2005 American Scientific Publishers All rights reserved.
- Almanza-Workman, A. M., Raghavan, S., Deymier, P., Monk, D. J., & Roop, R. (2004). Aqueous silane-surfactant co-dispersions for deposition of hydrophobic coatings onto pre-oxidized polysilicon. Colloids and Surfaces A: Physicochemical and Engineering Aspects, 232(1), 67-75.More infoAbstract: The application of hydrophobic coatings onto pre-oxidized polysilicon substrates is an effective method to prevent or reduce "release" as well as "in-use" stiction in microelectromechanical systems (MEMS). A chemical system consisting of a commercially available water dispersible silane (Siliclad®) has previously been shown to be useful in rendering polysilicon surfaces hydrophobic. In this paper, the improvement of the stability of such a chemical system is described. The objectives of the work reported in this paper are: (1) to characterize the stability of the organosilane in aqueous solutions under conditions suitable for the formation of hydrophobic coatings and (2) to investigate the influence of cationic surfactants on gelation rate of silane dispersions, on the adsorption of organosilane molecules onto pre-oxidized polysilicon and on the hydrophobic character of the coatings. Additionally, the effect of chain length and concentration for a series of alkyltrimethylammonium (C12,C14,C16) bromide surfactants on dispersion stability has been investigated. © 2003 Elsevier B.V. All rights reserved.
- Fang, Y., & Raghavan, S. (2004). Electrochemical investigations during the abrasion of aluminum/titanium thin-film stacks in iodate-based slurry. Journal of the Electrochemical Society, 151(12), G878-G881.More infoAbstract: The objective of this paper was to characterize the fundamental electrochemical behavior of thin aluminum-0.5% copper/titanium stack films before, during, and after abrasion in a commercially available alumina-based slurry containing iodate as an oxidant. A research apparatus in which electrochemical tests can be carried out during polishing was fabricated and used for this research. During the abrasion of the Al/Ti film stack, a sharp rise in corrosion potential (E∞), on the order of 1000 mV, was measured. The transition was much sharper with the low-temperature (25°C) Al film than the high-temperature (475°C) Al thin film. The slower transition in open-circuit potential in high-temperature films is most likely due to a Ti-Al intermetallic compound formed at the Al/Ti interface. Additionally, the galvanic corrosion between Al and Ti during abrasion was investigated. © 2004 The Electrochemical Society. All rights reserved.
- Huang, W. H., Tamilmani, S., Anderson, C., & Raghavan, S. (2004). Material removal and particulate generation during abrasion of copper films using a fixed abrasive pad. IEEE Transactions on Semiconductor Manufacturing, 17(4), 525-530.More infoAbstract: Minimization of particulates levels during the chemical-mechanical planarization (CMP) process as well as in the waste streams is possible through the use of a fixed abrasive pad (FAP). The abrasion of electrodeposited copper films using an alumina-based FAP was studied in hydrogen peroxide and hydroxylamine-based chemistries. The removal rate of copper in hydrogen peroxide solutions was much lower than that in hydroxylamine-based solutions in the pH range of 3 to 9. The addition of citrate to peroxide solution enhances the removal rate of copper to a level that is comparable to removal rates in hydroxylamine-based solutions. Abrasion in a hydrogen peroxide solution resulted in the formation of copper and aluminum-based particulates in the solution. The addition of citrate to peroxide solutions reduced the generation of copper-based particulates that were generated in solution. In hydroxylamine-based solutions, particulate generation was minimal.
- Kapila, V., Almanza-Workman, A. M., Deymier, P. A., & Raghavan, S. (2004). Two-dimensional Monte Carlo simulations of ionic and nonionic silane self-assembly on hydrophilic surfaces. Journal of Chemical Physics, 120(19), 9277-9285.More infoPMID: 15267864;Abstract: The effect of charged groups on the structure of nonionic and ionic silane films deposited on a hydrophilic surface from aqueous solutions was analyzed using Monte Carlo (MC) simulations in 2D. The impact of charged group in cationic silane on the overall structure of the films was investigated. The film structure with spatial pair correlations at each molecular layer of the deposited films was characterized. An average 'most probable' structure for the films of nonionic and cationic silanes was described based on two-dimensional simulations.
- Lowalekar, V., & Raghavan, S. (2004). Etching of zirconium oxide, hafnium oxide, and hafnium silicates in dilute hydrofluoric acid solutions. Journal of Materials Research, 19(4), 1149-1156.More infoAbstract: Oxides and silicates of zirconium and hafnium are actively being considered and tested to replace SiO2 as the gate material have the high-dielectric constant (k ∼ 20-25) needed to provide a larger equivalent oxide thickness, they are very refractory and difficult to etch by wet and dry methods. In this paper, work done on wet etching of ZrO2, HfO2, and HfSixOy in dilute hydrofluoric acid (HF) solutions is presented and discussed. Experiments were done one various high-k films deposited by metalorganic chemical vapor deposition. It was found that the as-deposited high-k films can be dissolved with a good selectivity over SiO2 in dilute HF solutions, but heat-treated high-k films are difficult to etch with good selectivity over SiO2 under the same conditions.
- Raghavan, S., Kapila, V., Almanza-Workman, A. M., Deymier, P. A., & Raghavan, S. -. (2004). Two-dimensional Monte Carlo simulations of ionic and nonionic silane self-assembly on hydrophilic surfaces. The Journal of chemical physics, 120(19).More infoAqueous chemistries have recently been shown to be useful for the deposition of hydrophobic films of nonionic and cationic silanes on hydrophilic substrates for the prevention of stiction in MEMS. The Monte Carlo method is used to simulate in two dimensions the self-assembly of silane films on a hydrophilic surface. We investigate the impact of charged group in cationic silane on the overall structure of the films. We characterize the film structure with spatial pair correlations at each molecular layer of the deposited films. The simulations reveal long-range correlations for the film of cationic silanes. Based on our two-dimensional simulations, we report an average "most probable" structure for the films of nonionic and cationic silanes.
- Tamilmani, S., Huang, W. H., Raghavan, S., & Farrell, J. (2004). Electrochemical treatment of simulated copper CMP wastewater using boron doped diamond thin film electrodes - A feasibility study. IEEE Transactions on Semiconductor Manufacturing, 17(3), 448-454.More infoAbstract: The overall goal of this research was to develop a single treatment process that will economically treat wastewater generated during chemical-mechanical planarization (CMP) processing of integrated circuits. Present treatment technologies require multiple steps, are expensive, and generate secondary waste streams requiring further treatment or disposal. This research investigated the feasibility of electrochemical treatment of CMP wastewater using boron doped diamond (BDD) film electrodes. BDD electrodes were selected for their absence of catalytic activity for water electrolysis and their high stability under anodic polarization. Cyclic voltammetry and constant potential batch deposition experiments were performed to study removal of Cu2+ ions at BDD cathodes. Galvanostatic oxidation experiments were performed to study removal of citrate and benzotriazole from aqueous solutions. For all conditions tested, Cu2+ removal was mass transfer limited. Average Faradaic current efficiencies for removing Cu2+ from solutions with initial concentrations between 15 and 50 mg/L ranged from 43% to 87%. The oxidation experiments showed that citrate could be completely oxidized to CO2 and that its rate of oxidation was independent of the current density under the conditions tested. In contrast, rates of benzotriazole oxidation were proportional to the current density. Based on the results, a novel, flow-through electrochemical reactor capable of simultaneously removing metal ions and organic additives in a single pass has been proposed.
- Vermeire, B., Pandit, V. S., Parks, H. G., Raghavan, S., Ramkumar, K., & Jeon, J. (2004). Hafnium or zirconium high-k fab cross-contamination issues. IEEE Transactions on Semiconductor Manufacturing, 17(4), 582-589.More infoAbstract: Hf and Zr contamination during immersion in process solutions is most likely to occur in neutral and caustic solutions. Both Hf and Zr contamination are introduced onto the wafer surface if they are present in an ammonium hydroxide peroxide mixture solution (which is caustic), but such contamination is removed using existing acid cleans. Large amounts of wafer-to-wafer cross contamination occurs in plasma etch tools. Particles can cause cross contamination in a thermal reactor during high-temperature anneals of high-k dielectric layers. Residual surface cross contamination does not diffuse into the wafers during thermal processing. If contamination remains on a wafer, gate oxide integrity degradation is only observed at high concentrations. Near surface minority carrier lifetime is also affected, but bulk lifetime is not.
- Yang, Y., Constance, B. H., Deymier, P. A., Hoying, J., Raghavan, S., & Zelinski, B. J. (2004). Electroless metal plating of microtubules: Effect of microtubule-associated proteins. Journal of Materials Science, 39(6), 1927-1933.More infoAbstract: Microtubules (MTs) are self-assembled proteinaceous filaments with nanometer scale diameters and micrometer scale lengths. Their aspect ratio, the reversibility of their assembly and their ability to be metallized by electroless plating make them good candidates to serve as templates for the fabrication of nanowires. We have shown that microtubule-associated-proteins (MAPs) play a critical role in maintaining the MT stability during Pt-catalyzed electroless Ni plating. MAP-stabilized MTs metallized for one minute in a Ni-acetate-based electroless-plating bath are coated with a metal film only several nanometers thick. The MAPs appear to lead to the formation of nanometer-wide metal bridges between the MTs. The metal coatings are constituted of small Pt clusters (∼3 nm), distributed and oriented randomly, embedded in an amorphous nickel matrix. © 2004 Kluwer Academic Publishers.
- Almanza-Workman, A. M., Raghavan, S., Deymier, P., Petrovic, S., Monk, D. J., & Roop, R. (2003). Electrochemical impedance spectroscopic characterization of hydrophobic coatings deposited onto pre-oxidized silicon. Diffusion and Defect Data Pt.B: Solid State Phenomena, 92, 211-214.More infoAbstract: Water-dispersible organosilanes have been used to apply highly hydrophobic coatings onto pre-oxidized silicon with the ultimate goal of using this technique to prevent or reduce release as well as in-use stiction in micro-electromechanical structures. The ability of the hydrophobic films to provide a barrier to liquid penetration has been investigated by electrochemical impedance spectroscopy in hydrofluoric acid (HF) solutions. The results have been analyzed using equivalent circuits.
- Almanza-Workman, A. M., Raghavan, S., Petrovic, S., Gogoi, B., Deymier, P., Monk, D. J., & Roop, R. (2003). Characterization of highly hydrophobic coatings deposited onto pre-oxidized silicon from water dispersible organosilanes. Thin Solid Films, 423(1), 77-87.More infoAbstract: The formation and quality of highly hydrophobic coatings deposited from water dispersible organosilanes onto pre-oxidized single crystal silicon were studied using atomic force microscopy, ellipsometry, dynamic contact angle measurements and electrochemical impedance spectroscopy (EIS). Highly hydrophobic films of a commercially available water dispersible silane and two different cationic alkoxysilanes were prepared by dip coating. It was found using atomic force microscopy that, in general, the structure of these highly hydrophobic films is a continuous film with some particulates attributed to bulk polymerization of the precursor molecule in water. Film defects were quantified using EIS by the value of charge transfer resistance at the hydrofluoric acid/silicon interface. Potential applications of this type of coatings include reduction/elimination of stiction in micro-electromechanical systems, contact printing in materials microfabrication, inhibition of corrosion and oxidation, prevention of water wetting, lubrication and protein adsorption. © 2002 Elsevier Science B.V. All rights reserved.
- Huang, W., Tamilmani, S., Raghavan, S., & Small, R. (2003). Dissolution of copper thin films in hydroxylamine-based solutions. International Journal of Mineral Processing, 72(1-4), 365-372.More infoAbstract: Chemical mechanical planarization (CMP) of copper, a key processing step in the rapid development of copper interconnect technology, requires the use of a chemical system that can oxidize and complex copper. Hydroxylamine, because of its redox properties and copper complexation ability, is being actively tested for use in copper CMP. Fundamental investigations have been carried out to characterize the dissolution of electroplated copper thin films in hydroxylamine-based solutions. Based on potential-pH diagrams and the data on dependence of copper etch rate on pH, a mechanism for copper dissolution based on the auto-oxidation/reduction of hydroxylamine has been proposed. The effectiveness of benzotriazole (BTA) and salicylhydroxamic acid (SHA) as corrosion inhibitors for copper in hydroxylamine-based chemistries is discussed. © 2003 Elsevier B.V. All rights reserved.
- Tamilmani, S., Huang, W., Raghavan, S., & Small, R. (2003). Corrosion inhibitors for copper in hydroxylamine-based chemistries used for CMP and post-CMP cleaning. Diffusion and Defect Data Pt.B: Solid State Phenomena, 92, 271-274.More infoAbstract: The effectiveness of benzotriazole and certain hydroxamic acids in inhibiting the corrosion of copper in hydroxylamine-based chemical systems was studied. Benzotriazole and salicylhydroxamic acid were identified to be efficient inhibitors. A detailed study of the effect of inhibitor concentration on inhibition efficiency was performed using electrochemical methods.
- Tamilmani, S., Shan, J., Huang, W., Raghavan, S., Small, R., Shang, C., & Scott, B. (2003). Interaction between Ceria and Hydroxylamine. Materials Research Society Symposium - Proceedings, 767, 161-166.More infoAbstract: Ceria containing slurries are increasingly used in the chemical mechanical polishing of CVD silicon oxide films to obtain STI structures. Unlike silica or alumina, ceria has redox characteristics. Because of this characteristic, removal of ceria particles from planarized surfaces may be possible using chemical reagents that can participate in redox reactions. One such reagent is hydroxylamine, which is already being used in copper CMP. The objective of the work reported in this paper was to characterize the reaction between ceria and hydroxylamine, especially with respect to dissolution of ceria particles. A kinetic study of the dissolution of ceria in hydroxylamine solutions maintained at various pH values has been performed. The extent and kinetics of dissolution of ceria has been determined by ICPMS. Removal of ceria particles from oxide surfaces using hydroxylamine-based chemistries has been investigated.
- Almanza-Workman, A. M., Raghavan, S., Deymier, P., Monk, D. J., & Roop, R. (2002). Water dispersible silanes for wettability modification of polysilicon. Journal of the Electrochemical Society, 149(1), H6-H11.More infoAbstract: The objective of this research has been to develop the chemistry and techniques for the application of hydrophobic coatings on polysilicon substrates from aqueous media with the ultimate goal of applying these techniques for preventing or reducing release as well as in use stiction in microelectromechanical structure devices. In this paper, the results obtained from a chemical system consisting of a water dispersible silane and a cationic surfactant are presented. Key experimental variables that have been investigated are the concentration of reactive silane and cationic surfactant, type of oxidation pretreatment, pH of the silane dispersion, and curing temperature. © 2001 The Electrochemical Society. All rights reserved.
- Kapila, V., Harris, J. M., Deymier, P. A., & Raghavan, S. (2002). Effect of long-range and steric hydrophilic interactions on micellization of surfactant solutions: A Monte Carlo study in 2-D. Langmuir, 18(9), 3728-3736.More infoAbstract: Monte Carlo simulations of aqueous surfactant solutions have been performed on a two-dimensional lattice in a canonical ensemble. The simulations are based on four different models of surfactants with chainlike geometry and several types of interactions between the surfactant headgroups. The effect of number of headgroups (NHG) and the types of interactions between them (short-range versus long-range) has been examined on the surfactant aggregation. The simulations show that the aqueous solutions of surfactant chains with NHG > 1 and a short-range head-head interactions behave in a manner similar to that of the solutions of surfactant chains with NHG = 1 and long-range electrostatic interactions between the headgroups. The similarity of the results in the two models is discussed on the basis of free energy of micellization and is shown to be an effect of similar excluded volume of headgroups in the two models.
- Tamilmani, S., Huang, W., Raghavan, S., & Small, R. (2002). Potential-pH diagrams of interest to chemical mechanical planarization of copper. Journal of the Electrochemical Society, 149(12), G638-G642.More infoAbstract: The chemical mechanical planarization (CMP) of copper-based structures is typically carried out with slurries that contain an oxidant, a complexant, and a corrosion inhibitor. The dissolution and passivation of copper are strongly influenced by the pH and the redox potential of the slurry systems. In this paper, potential-pH diagrams for copper in aqueous systems containing various organic compounds and oxidants of interest to copper CMP are presented. The stability region of copper complexes under varying copper and ligand concentrations and the effect that they may have on copper removal during CMP are discussed. Experimental values of redox potentials in some of the chemical systems are included in the diagrams. In cases where the free energy of formation of organic ligands was not available in the literature, values were estimated using the group contribution method.
- Vermeire, B., Delbridge, K., Pandit, V., Parks, H. G., Raghavan, S., Ramkumar, K., Geha, S., & Jeon, J. (2002). The effect of hafnium or zirconium contamination on MOS processes. IEEE International Symposium on Semiconductor Manufacturing Conference, Proceedings, 299-303.More infoAbstract: Hf and Zr contamination during immersion in process solutions is most likely to occur in neutral or caustic solutions. Both Hf and Zr contamination are introduced onto the wafer surface if they are present in an APM solution (which is caustic), but such contamination is easily removed using existing cleans. If contamination remains on a wafer, an effect on gate oxide integrity using ramped voltage testing is only observed at very high concentrations of Hf. Time dependent dielectric breakdown results are affected at lower levels of contamination. This is true particularly if the contamination is introduced using an APM solution. Wafer-to-wafer cross contamination can also occur in a thermal reactor during high temperature anneals of high-k dielectric layers.
- Deymier, P. A., Vasseur, J. O., Khelif, A., & Raghavan, S. (2001). Second-order sound field during megasonic cleaning of patterned silicon wafers: Application to ridges and trenches. Journal of Applied Physics, 90(8), 4211-4218.More infoAbstract: We report calculations of first-order pressure and second-order pressure gradient fields in the neighborhood of patterned silicon wafers. The patterned wafers consist of a single ridge and two parallel ridges separated by a trench on a planar substrate. The efficacy of megasonic waves for cleaning patterned wafers contaminated with micron to submicron silica particles is discussed by comparing a removal force arising from the second-order pressure gradient to a van der Waals adhesion force. The calculated second-order pressure gradient fields show that acoustic energy may be concentrated in small volumes in the vicinity of pattern features with dimensions significantly smaller than the wavelength of the incident acoustic wave. The angle the incident acoustic wave makes with the planar substrate has a strong impact on the second-order pressure gradient field. Grazing incident waves appear to provide a more efficient way of cleaning inside a trench. Excitation of a trench resonant vibrational mode enhances the magnitude of the first-order pressure, the second-order pressure gradient, and therefore the removal force. © 2001 American Institute of Physics.
- Almanza-Workman, A. M., Raghavan, S., & Sperline, R. P. (2000). In situ ATR-FTIR analysis of surfactant adsorption onto silicon from buffered hydrofluoric acid solutions. Langmuir, 16(8), 3636-3640.More infoAbstract: Buffered hydrofluoric acid (BHF) solutions containing HF and NH4F are widely used in the manufacturing of silicon-based integrated circuits. The adsorption/desorption characteristics of a commercially available, high purity, polyglycidol type surfactant (OHS) onto/from silicon from buffered hydrofluoric acid (BHF) solutions was studied by in situ attenuated total reflection-Fourier transform infrared spectroscopy (ATR-FTIR). The challenge in these measurements was to resolve the C-H peaks, in the 2800-3000 cm-1 region of the surfactant spectrum, that were masked by the strong absorbance due to N-H caused by a large amount of NH4+ ions in the solution. A technique has been developed to overcome this limitation. The principle of this technique is to carry out the surfactant adsorption in BHF solutions followed by the replacement of NH4+ ions by alkali-metal cations, such as K+ and Cs+, to allow better resolution of the C-H peaks from the baseline. Extrapolation of the adsorption density to time zero yields the adsorption density in the presence of NH4+. Using this technique, the adsorption density of OHS surfactant in a buffered HF solution containing 7 parts of NH4F (40%) and 1 part of HF (49%) was found to be approximately 20% higher than that in dilute HF solutions.
- Almanza-Workman, A. M., Raghavan, S., Deymier, P., Monk, D. J., & Roop, R. (2000). Wettability modification of polysilicon for stiction reduction in silicon based micro-electromechanical structures. Diffusion and Defect Data Pt.B: Solid State Phenomena, 76-77, 23-26.More infoAbstract: Increasing concerns regarding the use of organic solvents in work places and the generation of organic wastes has sparked interest in the feasibility of applying highly hydrophobic coatings from predominantly aqueous media. This article discusses the development of the chemistry and techniques for the application of hydrophobic coatings on polysilicon substrates from aqueous media.
- Deymier, P. A., Khelif, A., Djafari-Rouhani, B., Vasseur, J. O., & Raghavan, S. (2000). Theoretical calculation of the acoustic force on a patterned silicon wafer during megasonic cleaning. Journal of Applied Physics, 88(5), 2423-2429.More infoAbstract: We have calculated, theoretically, the acoustic pressure field around a linear pattern on a silicon wafer immersed in water subjected to a megasonic beam. The method of calculation is based on a Green's function formalism. The acoustic force applied on the pattern by the pressure field is determined as a function of frequency and the angle the incident megasonic beam makes with the wafer surface. The calculation is applied to two types of features that may be encountered in megasonic cleaning of integrated circuits prior to packaging, namely a micron-size silicon ridge and a metal wire (tens to hundreds of microns in diameter) bonded on a silicon substrate. The calculated acoustic shear stress is found to be orders of magnitude smaller than the shear strength of the features. © 2000 American Institute of Physics.
- Deymier, P. A., Vasseur, J. O., Khelif, A., Djafari-Rouhani, B., Dobrzynski, L., & Raghavan, S. (2000). Streaming and removal forces due to second-order sound field during megasonic cleaning of silicon wafers. Journal of Applied Physics, 88(11), 6821-6835.More infoAbstract: We calculate the second-order streaming force in a fluid in the vicinity of the solid/fluid interface for two systems of importance in the technology of megasonic cleaning of silicon wafers. The first system consists of a single planar interface between a solid elastic medium representing silicon and a viscous fluid, namely water. The second system accounts for the finite thickness of silicon wafers, It is composed of one silicon slab (wafer) immersed in water. The components of the streaming force parallel and normal to the silicon/water interface are determined as functions of frequency and wave vector of the incident acoustic wave. The normal component of the streaming force is used to calculate the removal force defined as the net force perpendicular to the solid/fluid interface acting on a spherical contaminant particle adhering to the silicon surface. The removal force is too small to remove submicron particles. In contrast the streaming force parallel to the solid/fluid interface may remove particles by pushing or rolling them. The streaming force is shown to be very sensitive to the angle the incident acoustic wave makes with the silicon/water interface. © 2000 American Institute of Physics.
- Jeon, J. S., Gandt, S. D., Raghavan, S., Almanza-Workman, M., Gonsalves, C., & Ogle, B. (2000). Behaviors of metallic contaminants in Si wafer processing. Diffusion and Defect Data Pt.B: Solid State Phenomena, 76-77, 123-126.More infoAbstract: It was found that the levels of metallic contamination depend on the pH of solutions. The contamination levels of Co and Ni in SC2 are significantly lower than those in SC1 solutions. It was also found that metallic contaminants on Si surface diffuse into bulk or oxide during oxidation. For Fe and Co contaminated wafers, decreases in lifetimes were found, and they depend on contamination levels. On the other hand, for Ti and Ni wafers, lifetimes were not different from that for uncontaminated wafer. Interestingly, Fe influenced bulk lifetime rather than near surface lifetimes, while Ni and Co affected near surface lifetime.
- Kelly, W. P., Grant, D. C., Zahka, J., Huang, W., & Raghavan, S. (2000). Effect of surface charge and fluid properties on particle removal characteristics of a surface-optimized REB filter. Diffusion and Defect Data Pt.B: Solid State Phenomena, 76-77, 271-274.More infoAbstract: The surface properties of a filter were chosen to have complete retention of both PSL and silicon nitride particles in a wide pH range of HF. Particles were removed by non-sieving mechanisms, allowing the filter to have large pores resulting in high flow rate.
- Shang, C., Huang, W., Raghavan, S., Chen, Z., Small, R., Peterson, M., & Jeon, J. (2000). Contamination and cleaning of oxide areas exposed during copper CMP in hydroxylamine based slurries. Diffusion and Defect Data Pt.B: Solid State Phenomena, 76-77, 295-298.More infoAbstract: Copper contamination of oxide areas that may occur during the chemical mechanical planarization of copper based structures using hydroxylamiane based slurries has been investigated. The results indicate low levels of copper contamination that is easily removable using a DI water brush cleaning technique. A mechanism for copper contamination has been proposed through the construction of potential-pH diagrams.
- Belongia, B. M., Haworth, P. D., Baygents, J. C., & Raghavan, S. (1999). Treatment of alumina and silica chemical mechanical polishing waste by electrodecantation and electrocoagulation. Journal of the Electrochemical Society, 146(11), 4124-4130.More infoAbstract: Electrocoagulation and electrodecantation were investigated as methods to concentrate solids from the dilute suspensions typically encountered as waste streams of chemical mechanical polishing operations. Model silica and alumina suspension containing particles of approximately 200 nm in diameter were studied. 3 L batches of suspension were subjected to electric fields of several volts per centimeter and monitored for particle removal. Electrodecantation was shown to clarify charge stabilized, low conductivity suspensions (20 mS/cm) without modifying the mean particle size or surface-charge characteristics. The technique is thus suited to circumstances where recycle and reuse of particles is desirable, though the results also show that Joule heating may disrupt the decantation process and so must be controlled in order to apply the method to higher conductivity suspensions. Electrocoagulation was shown to effectively destabilize and clarify high conductivity suspensions (1300 μS/cm), producing aggregates several-fold larger than the primary particle size, which subsequently sedimented from suspension. Intermittent application of the electric field was found to yield superior rates of particle removal while consuming substantially less electrical power (ca. 1 Wh/L of clear solution).
- Haworth, P. D., Kovach, M. J., Sperline, R. P., & Raghavan, S. (1999). Interaction of a polyglycidol-based nonionic surfactant with silicon in hydrofluoric acid solutions. Journal of the Electrochemical Society, 146(6), 2284-2288.More infoAbstract: The interaction of an alkyl polyglycidol surfactant with hydrogen-passivated silicon from dilute hydrofluoric acid solutions has been measured using wettability and attenuated total reflection Fourier transform infrared spectroscopic techniques. The surfactant was found to be very effective in rendering hydrophobic hydrogen-terminated silicon hydrophilic in the hydrofluoric acid solutions. The adsorption of the surfactant, and its desorption when rinsed with deionized water, were very rapid. However, approximately 20% of the adsorbed surfactant remained on the silicon surface even after prolonged rinsing.
- Huang, W. H., Raghavan, S., Fang, Y., & Zhang, L. (1999). Electrochemical behavior of copper in tetramethyl ammonium hydroxide based solutions. Materials Research Society Symposium - Proceedings, 566, 161-166.More infoAbstract: An investigation was undertaken to characterize the electrochemical behavior of copper in tetramethyl ammonium hydroxide (TMAH) based solutions. The effect of hydrogen peroxide and abrasion with a polyvinyl alcohol (PVA) brush on the corrosion of copper in alkaline solutions were characterized. Galvanic interactions between copper and tantalum in TMAH as well as in ammonium hydroxide (NH4OH) solutions were investigated. The experimental results have shown that the corrosion of copper in TMAH is lower than that in NH4OH, especially at pH values higher than 10. Even in the presence of hydrogen peroxide, TMAH corrodes copper at a lower rate than NH4OH. © 2000 Materials Research Society.
- Lee, K. T., & Raghavan, S. (1999). Etch Rate of Silicon and Silicon Dioxide in Ammonia-Peroxide Solutions Measured by Quartz Crystal Microbalance Technique. Electrochemical and Solid-State Letters, 2(2-4), 172-174.More infoAbstract: The etch rate of sputter coated silicon and thermally grown silica in ammonia-peroxide and ammonia solutions has been investigated using a quartz crystal microbalance (QCM) technique. The results obtained have been compared with literature results using more conventional techniques to show that a QCM is a valuable in situ measurement technique to follow very small etch rates. © 1999 The Electrochemical Society. S1099-0062(98)09-084-1. All rights reserved.
- Zhang, L., Raghavan, S., Meikle, S., & Hudson, G. (1999). Inhibition of alumina deposition during tungsten chemical mechanical planarization through the use of citric acid. Journal of the Electrochemical Society, 146(4), 1442-1447.More infoAbstract: The beneficial effects of citric acid in inhibiting alumina particle deposition onto silica areas during tungsten chemical mechanical planarization were investigated. The electrokinetics of alumina in the presence of citric acid and the uptake of citric acid by alumina were studied experimentally. At a pH of 4, as the citric acid concentration was increased, the zeta potential of alumina became less positive and reversed sign. Slurry dip tests and small-scale polishing experiments were carried out and the surface cleanliness of contaminated oxide surfaces was characterized with a field emission scanning electron microscope and image analysis. It has been demonstrated that citric acid is very effective in controlling alumina contamination on oxide surfaces when added into the slurry. Electrochemical tests showed that citric acid does not significantly attack tungsten films. A mechanism for the interaction between citric acid and alumina particles has been proposed.
- Cheng, X., Li, G., Kneer, E. A., Vermeire, B., Parks, H. G., Raghavan, S., & Jeon, J. S. (1998). Electrochemical impedance spectroscopy of copper deposition on silicon from dilute hydrofluoric acid solutions. Journal of the Electrochemical Society, 145(1), 352-357.More infoAbstract: Electrochemical impedance spectroscopy was used to probe the mechanism of copper deposition on silicon from dilute hydrofluoric acid solutions. Reaction parameters such as polarization resistance and space-charge capacitance were evaluated using an equivalent circuit model. The electrochemical impedance technique was found to be sensitive to parts per billion levels of Cu2+ ion in dilute hydrofluoric acid solutions. An inductive loop appeared in Nyquist plots only when Cu2+ ions were present in hydrofluoric acid solutions. Both the polarization resistance and inductance decreased significantly as the solution Cu2+ concentration increased. Addition of a nonionic surfactant to hydrofluoric acid solutions significantly altered impedance characteristics of the silicon/solution interface. Total reflection X-ray fluorescence results showed that illumination enhanced deposition of copper on silicon nearly an order of magnitude.
- Li, G., Kneer, E. A., Vermeire, B., Parks, H. G., Raghavan, S., & Jeon, J. S. (1998). A comparative electrochemical study of copper deposition onto silicon from dilute and buffered hydrofluoric acids. Journal of the Electrochemical Society, 145(1), 241-246.More infoAbstract: An electrochemical direct current polarization method was used to investigate characteristics of copper deposition onto silicon from dilute and buffered hydrofluoric acid solutions. The corrosion current density and corrosion potential of silicon were not very sensitive to the Cu2+ concentration, up to 1000 parts per billion, in buffered hydrofluoric acid. However, the extent of copper deposition, as measured by total reflection X-ray fluorescence, increased as the Cu2+ concentration in solution increased. In dilute hydrofluoric acid, Cu2+ addition had a significant and systematic effect on the corrosion potential and corrosion current density of silicon. However, in both types of solution, the cathodic current calculated from the measured copper deposition was found to be only a small fraction of the corrosion current (less than 1%). This indicates that the primary cathodic reaction is not copper ion reduction but hydrogen ion reduction. Illumination affected the electrochemical behavior of both p- and n-type silicon in Cu2+ spiked dilute hydrofluoric acid, but only that of p-type silicon in buffered hydrofluoric acid.
- Romero, J. D., Jeon, J. S., Hossain, T., Guangming, L. i., & Raghavan, S. (1998). Atomic Force Microscopy Analysis of Rough Si Surfaces Induced by Copper Contamination in HF and BHF Solutions. Scanning, 20(3), 165-167.
- Kneer, E. A., Raghunath, C., Mathew, V., Raghavan, S., & Jeon, J. S. (1997). Electrochemical measurements during the chemical mechanical polishing of tungsten thin films. Journal of the Electrochemical Society, 144(9), 3041-3049.More infoAbstract: A polishing tool and a potentiostat were used to simultaneously polish and measure the direct current (dc) open-circuit potential and anodic polarization behavior of chemical vapor deposited tungsten films in the presence of various oxidants. Of the different oxidants tested at pH 1.5 or pH 4.4, (NH4)6Mo7O24 formed the most protective passive layer on tungsten. Even in the presence of the most aggressive oxidant, Fe(NO3)3, the dissolution rates of chemical vapor deposited tungsten were approximately 3 nm/min during abrasion, which is a very small fraction of typical removal rates reported for chemical mechanical polishing of tungsten. This indicates that electrochemical oxidation followed by abrasive removal of the oxidation product and dissolution may not be the primary mechanism for tungsten removal. Atomic force microscopy scans of polished tungsten films indicate that corrosion assisted fracture may be an important removal mechanism for tungsten during chemical mechanical polishing.
- Zhang, L., & Raghavan, S. (1997). Use of malonic acid in chemical-mechanical polishing (CMP) of tungsten. Materials Research Society Symposium - Proceedings, 477, 115-123.More infoAbstract: The use of malonic acid as an additive in alumina slurries used for the chemical mechanical polishing (CMP) of tungsten has been explored for the reduction of particulate contamination. The principal objective of this work was to delineate conditions under which alumina contamination on polished surfaces could be reduced. The interaction between malonic acid and alumina particles has been investigated through electrokinetic and adsorption measurements. At suitable malonic acid concentrations and pH values, tungsten and alumina surfaces develop a negative zeta potential resulting in conditions conductive to reduced particulate contamination. Small scale polishing experiments have been carried out to relate electrokinetic results to the level of particulate contamination after polishing.
- Jeon, J. S., Raghavan, S., & Carrejo, J. P. (1996). Effect of temperature on the interaction of silicon with nonionic surfactants in alkaline solutions. Journal of the Electrochemical Society, 143(1), 277-283.More infoAbstract: The interaction of silicon wafers with alkaline solutions of octylphenol polyethylene oxide nonionic surfactants of different ethylene oxide chain length has been characterized at 25, 50, and 75°C. Wettability of silicon wafers was improved significantly at higher temperatures. Surfactants with long ethylene oxide chains exhibited less adsorption than surfactants with short ethylene oxide chains, and increase in solution temperature resulted in increased adsorption. Generally, the addition of surfactants to alkaline solution decreased the surface roughness of silicon; however, the degree of reduction of surface roughness was influenced by the length of ethylene oxide chain and conditioning temperature.
- Jeon, J. S., Raghavan, S., Parks, H. G., Lowell, J. K., & Ali, I. (1996). Electrochemical investigation of copper contamination on silicon wafers from HF solutions. Journal of the Electrochemical Society, 143(9), 2870-2875.More infoAbstract: Copper contamination of silicon wafers from 50:1 HF solutions containing 0 to 100 ppb Cu was studied using dc electrochemical techniques. As the level of copper concentration in HF solutions increased, the corrosion current density and corrosion potential of silicon as well as the amount of copper deposition were increased. Upon addition of a nonionic surfactant, the corrosion potential, corrosion current density, and the extent of copper deposition were decreased. However, the levels of deposited copper and surface roughness were dependent on sufactant concentration. When H2O2 was added to copper-spiked HF solutions, the open-circuit potential of silicon recovered to a value that is characteristic for silicon immersed in a mixture of H2O2 and HF indicating the removal of deposited copper on silicon.
- Jeon, J. S., Sperline, R. P., Raghavan, S., & Hiskey, J. B. (1996). In situ analysis of alkyl phosphate surfactant adsorption at the alumina/aqueous solution interface. Colloids and Surfaces A: Physicochemical and Engineering Aspects, 111(1-2), 29-38.More infoAbstract: Both the extent of adsorption and spatial chain orientation of adsorbed alkyl phosphate surfactant molecules on alumina were investigated using an in situ attenuated total reflection-Fourier transform-infrared technique. The adsorption isotherm was characterized by three distinct regions, and adsorption continued to occur even at pH values higher than the isoelectric point of alumina. Upon adsorption of surfactant, the wettability of alumina decreased, followed by a slight increase in the wettability at concentrations greater than 10 ppm, indicating the formation of a second adsorption layer. It was also found that as the surfactant concentration increased, zeta potential reversal occurred, and in a 10 ppm surfactant solution, alumina exhibited negative zeta potentials across the whole pH range. The profile of zeta potential-pH curves was typical for systems involving chemisorption. The spatial alkyl chain orientation angles of the adsorbed surfactant molecules were found to be in the range 48-41° from the normal to the alumina surface for 1-100 ppm surfactant solutions.
- Kneer, E. A., Raghunath, C., Raghavan, S., & Jeon, J. S. (1996). Electrochemistry of chemical vapor deposited tungsten films with relevance to chemical mechanical polishing. Journal of the Electrochemical Society, 143(12), 4095-4100.More infoAbstract: The electrochemical behavior of chemically vapor deposited tungsten films in solutions of interest to tungsten chemical mechanical polishing has been investigated using dc potentiodynamic polarization, linear polarization, and Tafel methods. It was found that in the absence of an oxidizer, the tungsten surface was passivated most effectively at acidic pH values. At pH 2 or 4, a WO2/WO3 duplex oxide layer of less than 50 A thickness was detected over the tungsten layer by x-ray photoelectron spectroscopy. The oxide layer formed at pH 2 was much thicker, and had better passivity compared to the oxide formed at pH 4. Addition of H2O2 at pH 2 or 4 resulted in a dramatic increase in tungsten dissolution.
- Lee, K. T., & Raghavan, S. (1996). Measurement of contaminant adsorption by quartz crystal microbalance techniques. Institute of Environmental Sciences - Proceedings, Annual Technical Meeting, 368-373.More infoAbstract: The adsorption of selected compounds of interest to semiconductor processing onto metallic and semiconductor surfaces has been investigated using a quartz crystal microbalance (QCM) technique. The effect of variables such as solution pH and concentration on adsorption has been characterized. Improvement of the sensitivity of the technique using an electrical bias to the crystal electrode has been explored.
- Jeon, J. S., & Raghavan, S. (1995). Electrochemical aspects of etching and passivation of silicon in alkaline solutions. Materials Research Society Symposium - Proceedings, 386, 63-68.More infoAbstract: Electrochemical polarization experiments were performed on Si wafers in ammoniacal solutions maintained at a pH in the range of 9.5 to 11.5. Anodic polarization of silicon yielded curves which are typical for materials that undergo passivation. The values of open circuit potential and passivation potential for p-type Si wafers were more anodic than for the n-type Si wafers. Corrosion current density of p-type Si wafers of low resistivity was lower than that of wafers of high resistivity. Corrosion current densities correlated well with surface roughness induced in alkaline solutions. Addition of surfactant or H2O2 to alkaline solutions reduced critical current density for passivation and corrosion current density.
- Jeon, J. S., Raghavan, S., & Sperline, R. P. (1995). Behavior of polyethylene oxide based nonionic surfactants in silicon processing using alkaline solutions. Journal of the Electrochemical Society, 142(2), 621-627.More infoAbstract: The adsorption of polyethylene oxide (PEO) based nonionic surfactants onto hydrophobic silicon from an alkaline solution was investigated using an in situ attenuated total reflection Fourier transform infrared spectroscopy technique. The adsorption/desorption profiles of surfactants were affected by the type and length of the hydrophobic group and the lengths of hydrophilic PEO chains. Complete wetting of hydrophobic silicon was measured at surfactant concentrations in the range of 50 to 200 ppm. Surfactant adsorption was controlled by hydrophobic attractions between the hydrophobic moiety of surfactant and the silicon surface. The addition of surfactant to alkaline solutions dramatically reduced the etch rate of silicon and resulted in a smoother silicon surface. Oxidizing treatments followed by buffered oxide etching (BOE) were effective in the complete removal of adsorbed surfactant.
- McGinnis, A. J., Raghavan, S., Lindstrom, T., Leal, J., & Martin, D. R. (1995). Electrostatic powder painting of non-conductive substrates. TMS Annual Meeting, 127-140.More infoAbstract: A method to electrostatically powder coat non-conductive substrates has been developed. This method uses a thin coating of an aerosol antistat containing a fatty quaternary ammonium compound onto the substrate prior to powder painting. Microstructural analysis of the epoxy coatings has shown that gases are trapped within the coating causing voids. The formation of large voids with diameters as large as 50 μm appear to be due to water-vapor released from the non-conductive substrate during curing of the epoxy coating. Even with the voids within the epoxy layer, the coating on the antistat treated substrate passed military specifications for adhesion and solvent resistance.
- Yoneshige, K. K., Parks, H. G., Raghavan, S., Hiskey, J., & Resnick, P. J. (1995). Deposition of copper from a buffered oxide etchant onto silicon wafers. Journal of the Electrochemical Society, 142(2), 671-676.More infoAbstract: The deposition of copper from a buffered oxide etchant (BOE) onto bare silicon, silicon dioxide, and patterned silicon wafers has been investigated. Deposition does not occur on surfaces of silicon dioxide, while deposition on regions of patterned silicon dioxide are observed at levels which fall between the deposition on bare silicon and silicon dioxide. The duration of a wafer rinse, which follows each immersion into a BOE solution, the silicon material as well as substrate doping do not affect the amount of deposition. The process of copper deposition from a BOE solution occurs uniformly across the surface of the wafer. The deposition on bare silicon surfaces shows an Arrhenius behavior, with two distinct activation energies: 0.40 eV (38.6 kJ mol-1) when the surface concentration is less than 6 × 1014 Cu atom cm-2 and 0.20 eV (19.3 kJ mol-1) when the surface concentration is greater than 6 × 1014 Cu atom cm-2. Surface roughness is observed to increase with the extent of deposition. An electrochemical reduction is used to describe the deposition of copper onto a silicon surface from a BOE solution.
- Deymier, P. A., Jung, C., & Raghavan, S. (1994). Molecular dynamics of magnetic particulate dispersions. Journal of Applied Physics, 75(10), 5571-5573.More infoAbstract: A computational investigation using the method of molecular dynamics was undertaken to characterize the state of magnetic particle dispersions. The simulations revealed that the microstructure of spherical particulate dispersions consists of chainlike clusters resulting from magnetic dipole alignment. Acicular particles formed clusters such as dimers, chains, and rings. The effect of fluid viscosity on the dispersion quality and the response of the magnetic dispersions to an external DC magnetic field are also reported.
- Dunn, D. S., Raghavan, S., & Volz, R. G. (1994). Ciprofloxacin attachment to porous-coated titanium surfaces. Journal of applied biomaterials, 5(4), 325-331.More infoPMID: 8580539;Abstract: A simple and effective method for attaching ciprofloxacin HCl salt to the surface of porous coated titanium based orthopedic materials was developed. The method utilizes the electrophoretic migration of both fine ciprofloxacin HCl particles and ciprofloxacin ions to deposit the antibiotic salt on a positively biased surface. The quantity of antibiotic deposited can be easily and effectively controlled by varying the time of deposition and applied voltage. In vitro tests have indicated that the antimicrobial activity of the treated surfaces is retained for a period of 5 days. The method allows a significant amount of antibiotic to be deposited and could theoretically be used to deliver antibiotics to the tissues surrounding prosthetic devices in order to prevent postoperative infections.
- Jan, D., & Raghavan, S. (1994). Adsorption of Metanil Yellow on a positively charge-modified nylon 66 membrane. Colloids and Surfaces A: Physicochemical and Engineering Aspects, 92(1-2), 1-7.More infoAbstract: The adsorption of Metanil Yellow (3-{[4-(phenylamino) phenyl] azo}benzenesulfonate) on a commercially available, positively charged modified nylon 66 membrane (N66 Posidyne) with an isoelectric point of 7.6 was investigated. The experiments were carried out in a specially designed streaming potential cell to follow changes in the streaming potential of the membrane during adsorption. The extent of adsorption was determined from the breakthrough behavior of the dye which was characterized by analyzing the concentration of the dye in the effluent stream using a spectrophotometric technique. At a pH of 5.1, the adsorption of Metanil Yellow was found to increase with its solution concentration and reached a saturation value of 2.2 × 1014 ions cm-2 at a solution concentration of 1.49 × 10-5 M. The reversal of the streaming potential of the membrane occurred at solution concentrations greater than 4.7 × 10-7 M, indicating that the dye adsorption was controlled by electrostatic as well as specific adsorption forces. Using the Stern-Grahame equation, a specific adsorption potential of about -7.5 kcal mol-1 was calculated from the experimental data. © 1994.
- Jan, D., & Raghavan, S. (1994). Electrokinetic characteristics of nitride wafers in aqueous solutions and their impact on particulate deposition. Journal of the Electrochemical Society, 141(9), 2465-2469.More infoAbstract: The electrokinetic characteristics of low pressure and plasma-enhanced chemical vapor deposited silicon nitride wafers subjected to different cleaning procedures were measured using a streaming potential technique. A streaming potential cell for handling 5 in. wafers was designed and fabricated to make these measurements. The isoelectric point (IEP) of silicon nitride was dependent on the cleaning method as well as the deposition technique. X-ray photoelectron spectroscopic measurement of Si/O and Si/N ratio of films was made to explain the difference in the measured IEP values. Polystyrene latex particle deposition from aqueous solutions onto silicon nitride wafers was investigated and correlated with the electrokinetic potential data.
- Jan, D., Jeon, J. S., & Raghavan, S. (1994). Surface modification of PVDF membranes by grafting of a vinylphosphonium compound. Journal of Adhesion Science and Technology, 8(10 pt 1), 1157-1168.More infoAbstract: A technique to incorporate positively charged groups onto the surface of microporous polyvinylidene fluoride membrane filters has been developed. In this method, a water-soluble vinyltriphenylphosphonium bromide compound was grafted onto polyvinylidene fluoride membranes using 60Co γ-irradiation. The electrical characteristics of prepared membranes were measured by streaming potential and anionic dye challenge tests. The compatibility of these charge-modified membranes with ultrapure water was also investigated. Results show that these charge-modified membranes are characterized by a positive zeta potential in the pH range from 4 to 9.3. From the dye challenge tests the density of positively charged sites was calculated to be approximately five times larger than that of unmodified polyvinylidene fluoride. The modified membranes released less than 1 ppb of total organic carbon (TOC) into ultrapure water and thus appear to have potential for use in DI water systems.
- Jeon, J. S., Raghavan, S., & Sperline, R. P. (1994). Quantitative analysis of albumin adsorption onto uncoated and poly(ether)urethane-coated ZnSe surfaces using the attenuated total reflection FTIR technique. Colloids and Surfaces A: Physicochemical and Engineering Aspects, 92(3), 255-265.More infoAbstract: An attenuated total reflection (ATR) Fourier transform infrared radiation (FTIR) technique has been explored for the in situ quantitative analysis of bovine serum albumin (BSA) adsorption from aqueous solutions onto a segmented poly(ether)urethane film deposited on a ZnSe internal reflection element (IRE) and onto a bare ZnSe IRE surface. The IR spectral area of the amide II band was used for the quantitative analysis of adsorption. BSA adsorption reached equilibrium within 30 min and changed linearly with solution concentration in the range 0.5-6 wt.% and was found to be dependent on the solution pH and substrate type. At the physiological concentration, BSA adsorption densities of 2.4 mg cm-2 and 3.9 μg cm-2 were obtained for ZnSe and polyurethane respectively. The adsorption density was higher at pH 7 than that at pH 3 or 11, and both α-helix and β-sheet structures were present in the adsorbed layer. BSA adsorbed onto these solids appears to adopt an extended conformation and the long axis of the molecule appears to lie in the plane of the interface. Adsorption density was higher on the more hydrophobic polyurethane surface than on the relatively less hydrophobic ZnSe crystal surface. © 1994.
- Jeon, J., Raghavan, S., Lowell, J., & Wenner, V. (1994). Surface charge effects in silicon wafer cleaning using surfactant-containing solutions. Proceedings of SPIE - The International Society for Optical Engineering, 2337, 13-19.More infoAbstract: The adsorption of an anionic and a cationic surfactant onto a silicon surface was investigated using the technique of ATR (Attenuated Total Reflection) FT-IR at pH values of 2.5 and 9.5. The surface charge development on silicon samples conditioned in these surfactant solutions was investigated using a SPV (Surface Photovoltage) technique. The adsorption of DTAB was found to be much higher at pH = 9.5 than at pH = 2.5. In contrast, adsorption of SDS was independent of pH. The surface charge of HF-last cleaned silicon p(100) wafers was almost the same as that of the as-received wafer. After conditioning in surfactant solutions, negatively charged silicon wafers showed an excess of positive charge except of silicon wafers conditioned in DTAB solution at pH = 9.5. After a DI water rinsing step, the surface charge was returned to its original value.
- Jung, C., Bond, G., Raghavan, S., & Emrick, R. (1994). Degradation of passivated iron particles in humid atmospheres. IEEE Transactions on Magnetics, 30(6 pt 1), 4065-4067.More infoAbstract: The interaction of water vapor with passivated iron particles used in magnetic recording was studied under different temperature and humidity conditions using a flow microcalorimetric (FMC) technique. Water uptake by passivated iron particles increased linearly with relative humidity ranging from 30% to 70% RH in the temperature range 30°C to 70°C. Mossbauer measurements showed that the ratio of core iron to oxide iron decreased during aging. Particles coated with hydrophobic PVC exhibited much less water uptake than particles coated with a less hydrophobic polymer, poly(vinyl alcohol).
- Dunn, D. S., Raghavan, S., & Volz, R. G. (1993). Gentamicin sulfate attachment and release from anodized Ti-6Al-4V orthopedic materials. Journal of Biomedical Materials Research, 27(7), 895-900.More infoPMID: 8360216;Abstract: A novel method has been developed to attach, retain, and release antibiotics from titanium based materials. This technique consists of forming porous surface coatings by anodizing and using the surface chemical properties of the oxide coatings to attach antibiotics.
- Hadson, C. F., Andrews, M. M., & Raghavan, S. (1993). Interaction of phosphate ester dispersants with calcined alumina. Colloid and Polymer Science, 271(1), 56-62.More infoAbstract: The interaction of two commercially available phosphate ester dispersants with calcined alumina has been investigated using the techniques of flow calorimetry and electrophoresis. Flow calorimetric experiments have shown that these dispersants chemically interact with the surface of calcined alumina. The electrical charging of alumina particles in an iso-octane solution containing a phosphate ester indicates that an acid-base interaction mechanism is operative in this system. © 1993 Steinkopff-Verlag.
- Jan, D., & Raghavan, S. (1993). Synthesis and characterization of positively charge-modified polypropylene membranes. IEEE Transactions on Semiconductor Manufacturing, 6(4), 367-372.More infoAbstract: A technique to incorporate positively charged groups onto the surface of microporous polypropylene membrane filters has been developed. In this method, 4-vinyl-pyridine was polymerized and grafted onto polypropylene membranes using 60Co γ-irradiation. Pyridyl groups on the grafted membranes were then quaternized with butyl bromide. The electrical characteristics of prepared membranes were measured by streaming potential and anionic dye challenge tests. The compatibility of these charge-modified membranes with ultrapure water was investigated. Results show that these charge-modified membranes are characterized by a positive zeta potential in the pH range from 4 to 9.3. From the dye challenge test at a pH of 5.0, the density of positively charged sites was calculated to be 1.7 × 1019 sites/g. The membranes did not release any measurable TOC into ultrapure water and thus appear to have potential for use in DI water systems.
- Jeon, J. S., & Raghavan, S. (1993). Wettability and cleaning of silicon wafers in tetramethyl ammonium hydroxide-based solutions. Proceedings, Annual Technical Meeting - Institute of Environmental Sciences, 1, 268-273.More infoAbstract: The effectiveness of commercially available tetramethyl ammonium hydroxide (TMAH)-based solutions (PFC-1 and ANC-1) in modulating the wettability of silicon was investigated. The results showed that hydrophobic silicon wafers can be made wettable by immersion/emersion cycling in TMAH solutions or through the addition of hydrogen peroxide or surfactant to the solutions. The etch rate of silicon wafer in the various TMAH-containing solutions was also investigated. Particle removal, investigated as a function of solution pH and initial particle count on wafers, showed that there is an optimum particle count on the wafers above which particle removal with PFC-1 solution is feasible.
- Jung, C., Raghavan, S., & Mathur, M. C. (1993). Magnetic probing of dispersion quality of cobalt modified iron oxide particle inks. IEEE Transactions on Magnetics, 29(6 pt 2), 3643-3645.More infoAbstract: The dispersion quality of magnetic inks made from cobalt modified iron oxide particles using a wetting binder based on vinyl acetate-vinyl chloride copolymer has been investigated using a magnetic probing technique known as DIMAG. The dispersion quality was found to improve with milling time as well as the binder concentration. X-ray photoelectron spectroscopy (XPS) analysis of the bare and binder treated particles indicated that some sort of a chemical interaction takes place between the binder and the cobalt ions.
- Jung, C., Rybicki, E., Raghavan, S., & Mathur, M. C. (1993). Interaction of a vinyl acetate-vinyl chloride based wetting binder with metal particles for magnetic recording. Colloids and Surfaces A: Physicochemical and Engineering Aspects, 80(1), 77-83.More infoAbstract: The interaction of two commercially available iron alloy particles with a wetting binder based on a vinyl chloride and vinyl acetate copolymer has been investigated. The interaction was studied using the techniques of flow microcalorimetry, X-ray photoelectron spectroscopy (XPS) and microelectrophoresis. The results obtained indicate that whereas both particles interact strongly with the wetting binder, particles of low nickel content are characterized by very high heats of interaction (about 37-47 kcal mol-1). The adsorption on both particles appears to obey the Freundlich equation. Binder adsorption on particles results in the development of rather large zeta potentials in tetrahydrofuran solutions. XPS experiments are unable to provide any conclusive evidence for the interaction mechanism. © 1993.
- Park, J., & Raghavan, S. (1993). Dynamic wetting behavior of silicon wafers in alkaline solutions of interest to semiconductor. Journal of Adhesion Science and Technology, 7(3), 179-193.More infoAbstract: Alkaline solutions based on ammonium hydroxide and quaternary ammonium hydroxides are used widely in the wet processing of silicon wafers for control of ionic and particulate impurities following etching in acidic or buffered fluoride solutions. Etched silicon is hydrophobic in nature and alkaline solutions, because of their capacity to etch silicon, will probably alter its wettability. In this paper, the wettability of silicon in choline (2-hydroxyethyl trimethyl ammonium hydroxide) and ammonium hydroxide solutions as investigated by a dynamic contact angle analysis technique is discussed. Specifically, it has been found that silicon exhibits a profound hysteresis in wettability during the first immersion/ emersion cycle in dilute choline as well as in ammonia solutions Ellipsometric and XPS (X-ray photoelectron spectroscopy) analyses have shown that exposure of choline-treated surfaces to air results in the oxidation of Si to SiO2.
- Dunn, D. S., Raghavan, S., & Volz, R. G. (1992). Anodized layers on titanium and titanium alloy orthopedic materials for antimicrobial activity applications. Materials and Manufacturing Processes, 7(1), 123-137.More infoAbstract: The development of a post operative infection following the implantation of a foreign material, such as a total joint prosthesis, is one of the most feared complications in orthopedic surgery. Prevention of such bacterial infections is best accomplished through the delivery of antibiotics as close to the implant as possible. A novel method has been developed to attach, retain and release antibiotics from titanium based materials. This technique consists of forming porous surface coatings by anodizing and using the surface chemical properties of the oxide coatings to attach antibiotics. Coatings with pores in the size range 0.1 to 10 μm have been formed in acid as well as basic solutions. The thickness, stoichiometry and morphology of the coatings have been characterized by Rutherford backscattering spectrometry and electron spectroscopy techniques. The isoelectric point of the coatings has been measured by a streaming potential technique. The attachment and retainment of gentamicin sulfate, a cationic antibiotic, to the coatings has been investigated using microbiological and streaming potential methods. In vitro test results have shown that the duration of antimicrobial activity on the surface of anodized materials is dependent on the porosity and isoelectric point of the coatings. Using microporous oxide coatings, it has been found that antimicrobial activity could be retained for almost two weeks.
- Dunn, D., & Raghavan, S. (1992). Formation and characterization of anodized layers on CP Ti and Ti-6Al-4V biomaterials. Surface and Coatings Technology, 50(3), 223-232.More infoAbstract: The biocompatibility of titanium and Ti-6Al-4V alloy materials has been attributed to the presence of a passive surface oxide layer. In this investigation, the feasibility of creating a thick, porous oxide layer by anodizing commercially pure Ti and the titanium alloy in sulfuric acid under potentiostatic conditions was examined. Characterization of the anodic oxide layers was carried out to determine their thickness, morphology and composition. The thickness of the oxide layers, as determined by Rutherford backscattering spectrometry, was found to be a function of applied potential, anodizing time and electrolyte temperature. Scanning electron microscopy investigations revealed that under suitably controlled experimental conditions, a very porous (10 μm diameter pores) surface layer could be formed. Incorporation of sulfate ions into the oxide layer was evident from depth profile analysis by Auger electron spectroscopy. The isoelectric point of the oxide layer formed on the alloy surface was measured to be 5.8. © 1992.
- Dunn, D. S., Raghavan, S., Volz, R. G., & Smith, T. (1991). Development of microporous oxide coatings on Ti-6Al-4V for antibiotic attachment and retainment. Transactions of the Annual Meeting of the Society for Biomaterials in conjunction with the International Biomaterials Symposium, 14, 51-.More infoAbstract: Research attempts were directed at establishing suitable anodizing conditions to create microporous (0.1 μm) oxides, characterize the structure and chemistry of the coatings and evaluate their performance with respect to antibiotic attachment and retainment. The authors have established suitable conditions for the formation of microporous oxide coatings on Ti and Ti-6Al-4V materials. These microporous oxide coatings are a definite improvement over macroporous oxide coatings for the purpose of antibiotic attachment and retainment.
- Jung, C., Raghavan, S., & Mathur, M. C. (1991). Interaction of metal particles for magnetic recording with media formulation components. Journal of Applied Physics, 69(8), 4481-4483.More infoAbstract: The interaction of commercially available, iron-based magnetic particles with certain particulate media formulation components has been investigated using the technique of flow microcalorimetry. The heat of wetting of these particles by solvents has been found to be a function of the solvent dipole moment and particle coercivity and surface area. Di(2-ethyl hexyl) phosphoric acid, a model dispersant, appears to interact chemically with a particle of high coercivity. Solvent basicity influences the heat and extent of interaction of the dispersant. .
- Ali, I., Raghavan, S., & Risbud, S. H. (1990). Charged particles in process liquids. Semiconductor International, 13(5), 92-95.More infoAbstract: Many researchers believe that the presence of particulate impurities in process liquids is a major source of contamination in semiconductor manufacturing. We have found that organic and inorganic particulate impurities are charged in process liquids. The magnitude and sign of this charge is characteristic of the impurity particle-liquid combination. Fluoride ions adsorb strongly on oxide as well as polymer particles. In addition, exposure to uv light alters the surface chemistry of photoresist particles.
- Ali, I., Raghavan, S., & Pritzker, M. (1989). Stability of chromium dioxide particles in aqueous media. Colloid & Polymer Science, 267(3), 255-261.More infoAbstract: An investigation was carried out to characterize the dissolution behavior of stabilized and unstabilized CrO2 particles in water, used in magnetic recording. Special attention was paid to the measurement of Cr(VI) concentration in the contact solution and to the elucidation of the effects of the stabilizing treatment by x-ray photoelectron spectroscopy (XPS). The experimental results indicate that both stabilized and unstabilized CrO2 particles predominantly release hexavalent chromium when in contact with water. The solubility of freshly stabilized CrO2 is considerably smaller than that of unstabilized CrO2, but the difference in solubility diminishes with aged particles. The XPS study indicated that stabilization of CrO2 particles with a bisulfite solution results in the formation of Cr2O3 or a Cr2O3-like product on the particle. However, it appears that Cr(III) oxide coating does not uniformly form on all particles. © 1989 Steinkopff.
- Fletcher, J. P., Hudson, G. F., Raghavan, S., & Risbud, S. H. (1989). Flow microcalorimetric estimation of energy changes during surface reactions of glass powders with aqueous media. Journal of the American Ceramic Society, 72(9), 1744-1746.More infoAbstract: Flow microcalorimetry was used for an investigation of the surface chemical nature of glass surfaces. A commercially available flow microcalorimeter allowed for the measurement of heat energy changes during surface reactions of two different glass powders with pyridine and water solutions. Pure fused silica glass and a fluorozircoizate glass were the materials studied by flow microcalorimetry.
- Hudson, G. F., & Raghavan, S. (1988). A flow microcalorimetric investigation of the acidity of chromium dioxide and γ-iron oxide. Colloids and Surfaces, 29(3), 263-272.More infoAbstract: Investigations have been carried out to compare the acidity of surface sites on chromium dioxide and γ-iron oxide magnetic particles. Measured heats of adsorption and adsorption densities of pyridine show that surface sites on CrO2, are more heterogeneous and more acidic than surface sites on γ-Fe2O3. Experimental results indicate that monolayer coverage of pyridine, approximately 5.0 μmol m-2, is attained on CrO2 at a solution concentration of approximately 35 mM. Application of the Freundlich isotherm to experimental results is discussed. © 1988.
- Hudson, G. F., & Raghavan, S. (1988). The effect of water on the zeta potential of chromium dioxide in tetrahydrofuran. Colloid & Polymer Science, 266(1), 77-81.More infoAbstract: Experiments have been performed to determine the effect of water on dilute suspensions of CrO2 in tetrahydrofuran (THF). The effect of water in the solvent as well as on the particle surface has been investigated using electrokinetic and dispersion stability measurements. Results of these investigations have shown that the zeta potential of dried CrO2 (physisorbed water removed) in THF is positive and is dependent on the water content in THF. The zeta potential exhibits a maximum at about 1,800 ppm water. Good correlation also exists between the electrokinetic and dispersion stability measurements. © 1988 Steinkopff.
- Zipperian, D., Raghavan, S., & Wilson, J. P. (1988). Gold and silver extraction by ammoniacal thiosulfate leaching from a rhyolite ore. Hydrometallurgy, 19(3), 361-375.More infoAbstract: An investigation has been carried out to identify the parameters of importance in the dissolution of gold and silver values from an ore using ammoniacal thiosulfate solutions containing copper. The chemistry of the dissolution process has been analyzed through the construction of EH -pH diagrams. The experimental results show that it is possible to solubilize about 70% of silver and 90% of gold values in one hour. The leaching process is quite sensitive to thiosulfate and ammonia concentrations. Maintenance of proper Eh and pH conditions is necessary to prevent precipitation of copper as Cu2S with concomitant loss of silver values from solution. © 1988.
- Phule, P. P., Raghavan, S., & Risbud, S. H. (1987). COMPARISON OF Ba(OH)//2, BaO, AND Ba AS STARTING MATERIALS FOR THE SYNTHESIS OF BARIUM TITANATE BY THE ALKOXIDE METHOD.. Journal of the American Ceramic Society, 70(5), c. 108-c. 109.More infoAbstract: A discussion of the relative merits and problems of using Ba(OH)//2, BaO, and Ba as starting materials for the synthesis of barium titanate by the alkoxide method is presented. The Ba(OH)//2 process is promising because it does not involve the synthesis of barium alkoxide, whereas the BaO process suffers from complex chemical reactions; the impurities in BaO cause unknown effects on the composition and properties of the final ceramic.
- Mclean, M., Wagenen, S. V., Wiedemann, D., Fernando, Q., & Raghavan, S. (1986). Determination of thioglycolic acid and dithiodiglycolic acid in mineral flotation systems. Analytical Chemistry, 58(4), 965-969.More infoAbstract: When aqueous solutions of thioglycolic acid are equilibrated with sphalerite, a zinc sulfide mineral, a large fraction of the thioglycolic acid is either adsorbed on the sphalerite surface or oxidized to dithiodiglycolic acid. The total concentration of thioglycolic and dithioglycolic acid in solution has been determined by molecular emission cavity analysis (MECA). The fraction of the thioglycolic acid that is not adsorbed on the mineral surface and remains in solution has been determined by a coulometric titration in which iodine is electrogenerated in situ and the end point located by an amperometric method. Attempts to determine the thioglycolic acid that was adsorbed on the mineral surface directly by MECA gave unreliable results. This has been attributed to the wide variation in the surface area as well as the surface chemical composition of small samples (1-2 mg) of the mineral that must be used in the sample cup in MECA. Thioglycolic acid also leaches traces of metal ions from the mineral surface. The concentration of zinc(II) in solution reflects the extent of leaching that has occurred. © 1986 American Chemical Society.
- Raghavan, S., & Gajam, S. Y. (1986). Application of an enlarging pore model for the ammoniacal leaching of chrysocolla. Hydrometallurgy, 16(3), 271-281.More infoAbstract: An attempt has been made to apply an enlarging pore model to the ammoniacal leaching of chrysocolla (CuSiO3· 2H2O) using the experimentally measured values of conversion (percent extraction), pore volume, and surface area. There is good agreement between the measured and predicted surface area values up to a fractional conversion of 0.45. The measured surface area and pore volume of chrysocolla increase with extent of leaching up to a fractional conversion of 0.6 and thereafter decrease. © 1986.
- Gajam, S. Y., & Raghavan, S. (1985). A kinetic model for the hydrochloric acid leaching of kaolinite clay in the presence of fluoride ions. Hydrometallurgy, 15(2), 143-158.More infoAbstract: The dissolution of kaolinite clay in hydrochloric acid solutions has been carried out in the presence of fluoride ions. Leaching in the presence of fluoride ions activates the clay for leaching, making higher extractions possible at lower roasting and leaching temperatures. The activation energy for the leaching of clay calcined at 540°C is decreased from 71 kJ/mol to 23 kJ/mol in the presence of fluoride ions. Dissolution in the presence of fluoride appears to fit a second-order reaction mechanism. © 1985.
- Gajam, S. Y., & Raghavan, S. (1985). KINETIC MODEL FOR HYDROCHLORIC ACID LEACHING OF KAOLINITE.. Transactions of the Institution of Mining and Metallurgy, Section C: Mineral Processing and Extractive Metallurgy, 94, 115-120.More infoAbstract: The rate of dissolution increases with calcination temperature, calcination time and leach temperature. A first-order kinetic model is developed by considering the clay to consist of two types of aluminium sites - one that is activated and another that is refractory. The proportion of sites of each type depends mainly on roast temperature.
- Raghavan, S., & Gajam, S. Y. (1985). CHANGE IN POROUS NATURE OF KAOLINITE WITH HYDROCHLORIC ACID LEACHING.. Transactions of the Institution of Mining and Metallurgy, Section C: Mineral Processing and Extractive Metallurgy, 94, 79-82.More infoAbstract: Changes in the pore structure of kaolinite clay that result from calcination and hydrochloric acid leaching have been studied by a gas adsorption technique. The results show that the surface area, macropore volume and micropore volume of the leached residue increase with the extent of leaching up to a leach time of 1 h and decrease thereafter. Calcination at 500-750 degree C appears to destroy the structure of the clay, but has no significant effect on its porous nature.
- Zipperian, D. C., & Raghavan, S. (1985). The recovery of vanadium from dilute acid sulfate solutions by resin ion exchange. Hydrometallurgy, 13(3), 265-281.More infoAbstract: A detailed study has been carried out to investigate the possibility of recovering vanadium by resin ion exchange from acid sulfate solutions containing 10 mg/l vanadium. In particular the recovery of vanadium by an anion exchange resin, Dowex 21K, has been investigated. Batch loading tests have been carried out to elucidate the role of Eh and pH on vanadium loading. An Eh-pH diagram has been constructed to determine the most stable vanadium species in solution at different Eh and pH conditions, and this information has been used to explain and predict the loading of vanadium onto the anion exchange resin. Kinetic data have been obtained for the loading of vanadium at different pH values. A film diffusion model has been found to fit the experimental data gathered at pH 4.0, while a particle diffusion model seems to offer a better fit to the experimental data at pH 2.0. © 1985.
- Raghavan, S., & Hsu, L. L. (1984). Factors affecting the flotation recovery of molybdenite from porphyry copper ores. International Journal of Mineral Processing, 12(1-3), 145-162.More infoAbstract: Investigations have been conducted to characterize the surface chemical and flotation properties of molybdenite in aqueous environments typical of those that exist in the copper circuits of plants which process porphyry copper ores. These investigations have revealed that molybdenite and quartz particles are negatively charged at the pH commonly used for bulk sulfide flotation, namely 11. While the adsorption of calcium ions reduces the magnitude of negative charge on molybdenite, the adsorption can reverse the surface charge on quartz particles when the calcium concentration in solution exceeds 1 × 10-3 M. Heterocoagulation of molybdenite and quartz particles will thus be inevitable in solutions containing large amounts of lime and will impair the floatability of molybdenite. The deleterious effects of heterocoagulation can be somewhat overcome by an ultrasonic conditioning prior to flotation. Besides heterocoagulation, particle size is very critical for optimum molybdenite flotation. Coarse molybdenite particles (120 × 200 mesh) float extremely rapidly and completely without being influenced by heterocoagulation. The flotation of fine molybdenite particles (minus 200 mesh) is sensitive to particle size and heterocoagulation. Results of tests conducted to assess the effects of overgrinding of molybdenite have indicated that while there is no change in the crystal structure due to prolonged grinding, the floatability is significantly reduced due to the creation of very rough surfaces. © 1984.
- Raghavan, S., Adamec, E., & Lee, L. (1984). Sulfidization and flotation of chrysocolla and brochantite. International Journal of Mineral Processing, 12(1-3), 173-191.More infoAbstract: A detailed investigation of the physical chemistry of interaction of oxide copper minerals, chrysocolla and brochantite, with aqueous ammonium sulfide solutions has been carried out. The principal experimental variables that have been explored are solution pH, degree of agitation, conditioning time, and sulfide ion concentration. Attempts have been made to assess the change in the surface characteristics of the minerals using gas adsorption (surface area), X-ray diffraction, and microelectrophoresis techniques. The results obtained indicate that sulfide ions interact more intensely and rapidly with oxide copper mineral at acidic pH values than at basic pH values. Sulfidization affects the surface charge characteristics of brochantite more than it does chrysocolla. The porous nature of chrysocolla is hardly reduced by sulfidization. Sulfidization followed by xanthate flotation is a very effective method for the recovery of brochantite irrespective of either sulfidization or flotation pH. Chrysocolla responds rather poorly to flotation even after sulfidization. Conditioning at acidic pH values makes chrysocolla more amenable to flotation. © 1984.
- Gajam, S., & Raghavan, S. (1983). A kinetic study of enargite dissolution in ammoniacal solutions. International Journal of Mineral Processing, 10(2), 113-129.More infoAbstract: The kinetics of dissolution of natural enargite in ammoniacal solution has been investigated. Unlike other copper sulfide minerals, the dissolution of copper from enargite is very slow and only 60% of the copper is extracted after 24 hours. The rate of dissolution is favored by high temperatures and high oxygen pressures. The experimental data seem to fit an electrochemical mechanism. © 1983.
- Raghavan, S., & Fowler, S. (1983). Use of dithionite in the removal of nickel from ammoniacal solutions. Hydrometallurgy, 11(1), 125-129.More infoAbstract: An investigation has been carried out to study the feasibility of the removal of nickel from ammoniacal solutions using the reducing agent sodium dithionite. The results obtained indicate that dithionite can precipitate over 95% of nickel values in solution in the form of Ni3S2 and metallic nickel. A temperature of 45°C has been found to be optimal for the precipitation process. © 1983.